Lec11 Apri
Lec11 Apri
Lec11 Apri
StandardCell.lib
StandardCell.lib
design.v Synthesis tool StandardCell.lef
StandardCell.gds
Physical
Implementation Chip Layout
design_SYN.v tool
Netlist (verilog)
IO, P/G Placement IO constraint
Timing constraint (sdc)
Specify floorplan
Power Planning
Timing Analysis
Pre-CTS Optimization
Timing analysis
Post-CTS Optimization
SI Driven Route
Timing/SI analysis
Output GDS,
Post-Route Optimization
Netlist
✓ RC Extraction (*.captbl)
– Capacitance table
– RC extraction for further power analysis, simulation etc
PIOVDD0
PIOVSS0
Pad: IN_CLK N
IN_CLK
Pad: PIOVSS0 N PADIOVSS PCNR0 ⋯ PCNR1
…
Pad: PCNR1 NE PADCORNER
Pad: PIOVDD3 E PADIOVDD
⋯
⋯
Pad: P_POC E PADIOVDDPOC
Pad: PIOVSS3 E PADIOVSS
… PADVSS1 PIOVSS3
Pad: PCNR2 SW PADCORNER W CORE E
Pad: PADVDD2 S PADVDD POUT P_POC
Pad: PIN1 S
Pad: PADVSS2 S PADVSS
… PADVDD1 PIOVDD3
Pad: PCNR3 SE PADCORNER
PADVDD2
PADVSS2
Pad: PADVDD1 W PADVDD
PIN1
Pad: POUT W PCNR2 ⋯ PCNR3
Pad: PADVSS1 W PADVSS
…
S
10
Import Design
Toolbar
Tool Design views
V: visibility toggles
S: selectability toggles
Layer control
Design display area
Status
✓ Design Views
– Floorplan View: displays the hierarchical module and block
guides, connection flight lines and floorplan objects
– Amoeba View: displays the outline of modules after placement
– Physical View: displays the detailed placements of cells, blocks.
Files: CHIP_unique.v
2 Top Cell: ◆ By user: CHIP
5
Set MMMC configuration
14
import
floorplan
2. Import Design - MMMC powerplan
placement
CTS
routing
✓ Multi-mode multi-corner (MMMC) timing analysis and optimization
– Multi-mode
– Multi-corner
Example
A design is required to meet 3 operating corners:
• Corner 1 - 1.1V, 0°C
• Corner 2 – 0.9V, 100°C
• Corner 3 – 1.1V, 100°C
15
import
floorplan
2. Import Design - MMMC powerplan
placement
CTS
routing
✓ Multi-mode multi-corner (MMMC) timing analysis and optimization
– In MMMC environment you must specify the lower-level information first
6 1
3
• Max Timing Libraries:
containing the worst-case conditions
for setup-time analysis
5
Note:
You can save the settings to CHIP_mmmc.view by clicking save
bottom, then you can load it by using load bottom next time without
configuration set up again
16
import
floorplan
2. Import Design - Result powerplan
placement
CTS
routing
Pad
Core Area
Top-Level Module
Hard Macro
17
Floorplan
– When calculating core size of standard cells, the core utilization must be decided first.
Usually the core utilization is higher than 85%
– The core size can be calculated as follows
macro + standard cell area
Core Size of Standard Cell =
core utilization
– The recommended core shape is a square, i.e. Core Aspect Ratio = 1. Hence the width
and height can be calculated as
Width = Height = Core Size of Standard Cell
• Note: because stripes and macros will be added, width and height are
usually set larger than the value calculated above
– E.g.
• No mStandard cell area = 2,000,000
• Core utilization demanded = 85% Core
Core Height
• acros
2,000,000
Core Size of Standard Cell = = 2,352,941
0.85
Core Width
Width = Height = 2,352,941 = 1534
– When setup the floorplan, remember to leave enough space for power ring
Hard Macro
Standard
Cell
3
22
import
✓ Add Halo
5
2 Select macro
6
– IR drop
• IR drop is the problem of voltage drop of the power and ground due to high
current flowing through the power-ground resistive network
• When there are excessive voltage drops in the power network or voltage rises in
the ground network, the device will run at slower speed
• IR drop can cause the chip to fail due to
◆ Performance (circuit running slower than specification)
◆ Functionality problem (setup or hold violations)
◆ Unreliable operation (less noise margin)
◆ Power consumption (leakage power)
◆ Latch up (short circuit)
• Prevention: adding stripes to avoid IR drop on cell’s power line
Hillock
Void
2b 3b
2c
Fill in VDD VSS
3c
2d 3d
4 5 6 29
import
Without
Interleaving
Interleaving
– In Basic tab
3 Field Fill In 2
Fill in VDD VSS
Top and Bottom Layer metal 5
Left and Right Layer metal 4
Width 8
Spacing 4
6
Fill in the demanded value
Tip:
Click Apply then you can undo this step
Click OK then undo is not allowed
1
In Advanced tab
4
2 Fill in VDD VSS
5
3 Enable Pad Pins Only For Pad Pins
6 Choose All
34
import
– IR drop prevention
Note:
Stripes should not be mixed with core power pins
3b 5b
3c 5c
4 6
36
import
Row Based PR
Standard Cell
VDD
VSS
37
import
38
import
floorplan
4.6. Add Pad Filler powerplan
placement
CTS
✓ 4.6 Add pad filler routing
✓ Verify geometry
– Check if the layout so far does not violate technology design rules
✓ Calibre DRC
– Design rule check with more rigorous rules
– For advanced process, the verifications provided by encounter may be
insufficient, so we must check Calibre DRC
Note:
It’s suggested to do the checks after powerplan
Pad pin
Follow pin
2
3
4
43
import
floorplan
5. Standard Cell Placement - Result powerplan
placement
CTS
routing
✓ Operations
– Trial route Negative slack should be fixed
– RC extraction
– Timing analysis
✓ Reports
– Generated reports are saved in ./timingReports/
• CHIP_preCTS.cap
• CHIP_preCTS.fanout
• CHIP_preCTS.tran
• CHIP_preCTS_all.tarpt
– Timing summary and DRVs (design rule violations)
– If the timing is MET, pre-CTS optimization can be skipped
✓ Operation
– Repair Setup slack, Setup times
– Repair Design rule violations (DRVs)
CLK
ICLAB NCTU Institute of Electronics
48
import
buffer1
FF2
P
delay3 buffer4
3 Add CLKBUFs
✓ Optimization
– Open Optimize → Optimize Design…
– Design Stage ◆ Post-CTS
– Optimization Type ◆ Max Cap ◆ Max Tran ◆ Max Fanout
✓ Operation
– Repair Setup slack, Setup times
– Repair Design rule violations (DRVs)
✓ Routing-based SI prevention
✓ Solution
– Add jumper (change metal layer)
– Add antenna cell (diode)
Jumper
2 NanoRoute
Routing Phase
2 ◆ Optimize Via
3 ◆ Optimize Wire
Concurrent Routing Features
◆ Fix Antenna
◆ Insert Diodes
3 Diode Cell Name: ANTENNA_X1
◆ Timing Driven
◆ SI Driven
◆ Post Route SI
57
import
floorplan
11. Post-Route Timing Analysis powerplan
placement
CTS
✓ Timing analysis (Setup) routing
✓ Optimization (Setup)
– Open Optimize → Optimize Design…
– Design Stage ◆ Post-Route
– Optimization Type ◆ Max Cap ◆ Max Tran ◆ Max Fanout
✓ Optimization (Hold)
– Open Optimize → Optimize Design…
– Optimization Type ◆ Setup ◆ Hold
◆ Max Cap ◆ Max Tran ◆ Max Fanout
58
import
floorplan
12. Add Filler Cells powerplan
placement
CTS
✓ Add filler cells routing
✓ Metal filler inserted after routing, but before GDSII stream out
59
Stream Out
✓ Calibre DRC
– Design rule check with more rigorous rules
– For advanced process, the verifications provided by encounter may be
insufficient, so we must check Calibre DRC
61
14. Stream Out Files
✓ The following files will be generated after APR design flow
– CHIP_pr.v
• Netlist file for post-layout gate-level simulation
– CHIP.sdf
• Design timing file for post-layout gate-level simulation
– CHIP.def
• Design exchange format relevant to physical layout
– CHIP.gds
• GDSII stream file for Calibre-DRC (Design Rule Check), LVS, and tape out
62