Elektor N°473 2018-09-10

Télécharger au format pdf ou txt
Télécharger au format pdf ou txt
Vous êtes sur la page 1sur 116

septembre/octobre 2018 | n° 473 | www.elektormagazine.

fr

lektor
lektor

DÉCOUVRIR CRÉER PARTAGER

détection de coupure de secteur


avec alarme SMS

détecte et signale les coupures (même brèves)


LoRa ? comparatif
L’aura pas ? d’analyseurs de spectre
localisateur à 2,4 GHz
pour déterminer la
distance ET
la direction
d’un objet
perdu

beaucoup de puissance
pour une somme raisonnable
serveur web ESP8266 pour ruban de LED NeoPixels détecteur de pluie convertisseur MLI vers CN/A carte ESP32 Pico-Discovery-
Board variateurs pour LED fréquencemètre à étalonnage automatique amplificateur BF pour sinus modulé en fréquence
horloge nostalgique à LED tubes de qualité spéciale (SQ) conception de matériel avec (V)HDL machine à cocktail connectée

(B) 16,50 € • (CH) 29,00 FS • (CAN) 20.99 $ca • (And) 15,50 €


ISSN 0181-7450 DOM surface 16,50 € • DOM avion 16,90 € • N Cal/S 2000 cfp
Simulateur analogique
MPLAB® Mindi™
Logiciel gratuit de Microchip pour
la conception de circuits

Le simulateur analogique MPLAB® Mindi™ réduit le temps de conception de circuits et


les risques liés à une mauvaise conception en simulant les circuits avant de procéder au
prototypage matériel. L’outil de simulation utilise un environnement de simulation SIMetrix/
SIMPLIS, avec la possibilité d’utiliser SPICE ou une modélisation linéaire par morceaux, afin
de couvrir de nombreux besoins de simulation possibles. Cette puissante interface de
simulation est associée à des fichiers de modélisation propriétaires de Microchip, servant à
modéliser les composants analogiques spécifiques de Microchip, en plus des composants
et circuits intégrés génériques. Enfin, cet outil de simulation s’installe directement sur
votre propre ordinateur. Une fois téléchargé, aucune connexion Internet n’est requise, et
l’exécution de la simulation n’est dépendante d’aucun serveur distant. C’est pourquoi les
simulations de circuits analogiques sont rapides et précises.

Avantages clés
Analyses de courants AC, DC et transitoires
Validation de la réponse système, des contrôles et de la stabilité
Identification des problèmes avant la fabrication

www.microchip.com/mindi

Le nom et le logo de Microchip ainsi que le logo Microchip et MPLAB sont des marques déposées, et Mindi est une marque commerciale de Microchip Technology Incorporated aux États-Unis et dans d’autres pays. Toutes les autres
marques commerciales ci-dessus mentionnées sont la propriété exclusive de leurs propriétaires respectifs. © 2017 Microchip Technology Inc. Tous droits réservés. DS20005860A. MEC2196Fre12/17
41ème année,
n° 473 – septembre/octobre 2018

ISSN 0181-7450
Dépôt légal : août 2018
CPPAP 1113 U 83713
Directeur de la publication : Donatus Akkermans

Elektor est édité par :


PUBLITRONIC SARL
c/o Regus Roissy CDG
1, rue de la Haye
La voix de son maître
BP 12910
Qui va gagner la Coupe du monde ?
FR - 95731 Roissy CDG Cedex
Siri : La France affronte l’Argentine en huitième de finale de la Coupe du monde
@ : [email protected] demain à 16h. L’Espagne affronte…
Téléphone : (+33) 01 70 61 27 41 Alexa : J’avoue que j’ai dû revoir mon pronostic, car je n’avais pas prévu l’élimina-
du lundi au vendredi de 10h à 13h tion de l’Allemagne. Je pense que les Espagnols ont toutes leurs chances en finale.
Es-tu une fille ou un garçon ? Siri : Ça n’a aucune importance. Alexa : Je n’ai ni
Télécopie : (+33) 01 70 61 27 42
corps, ni genre, mais pour vous parler j’adopte une « persona » féminine.

www.elektor.fr | www.elektormagazine.fr Qui est ton père ? Siri : Quoi ? Qui est ta mère ? Alexa : J’ai été conçu par une
équipe d’inventeurs à Amazon.
Banque ABN AMRO : Paris Es-tu amoureuse ? Siri : Aucun commentaire ! Alexa : Je suis amoureuse de la vie.
IBAN : FR76 1873 9000 0100 2007 9702 603
Raconte-moi une blague ! Siri : Je les oublie toutes. Alexa : Quel est le gâteau le
BIC : ABNAFRPP
plus dur au monde ? La bûche de Noël.
Est-ce que tu m’espionnes ? Siri : Je ne sais pas. Alexa : J’aime beaucoup les uti-
Publicité :
Quentin Bentz lisateurs comme vous.
Tél. : (+33) 06 63 31 71 09 Ils vous écoutent, ils vous parlent, ils vous répondent... ce sont les robots Alexa et
[email protected] Siri, ici interviewés sur France Inter (pour l’émission « l’instant M » du 29 juin der-
nier). Google Home n’avait pas été invité.
DROITS D’AUTEUR :
© 2018 Elektor International Media B.V. Après celle des commandes tactiles, voici donc la mode des commandes vocales.
De plus en plus de microcontrôleurs offrent désormais dans leurs outils logiciels
Toute reproduction ou représentation intégrale ou
partielle, par quelque procédé que ce soit, des pages des fonctions de reconnaissance vocale. Des modules prêts à l’emploi ajoutent ces
publiées dans la présente publication, faite sans fonctions aux nano-ordinateurs et certains leur permettent déjà de se connecter
l’autorisation de l’éditeur est illicite et constitue une
au nuage. Ce n’est qu’un début ! Les cartes de développement et les outils logi-
contrefaçon. Seules sont autorisées, d’une part, les
reproductions strictement réservées à l’usage privé du ciels idoines pour la réalisation de commandes vocales vont pulluler. Les discussions
copiste et non destinées à une utilisation collective, et,
croustillantes aussi.
d’autre part, les analyses et courtes citations justifiées
par le caractère scientifique ou d’information de l’oeuvre
Mariline Thiebaut-Brodier
dans laquelle elles sont incorporées (Loi du 11 mars 1957
-art. 40 et 41 et Code Pénal art. 425).
Certains circuits, dispositifs, composants, etc. décrits
dans cette revue peuvent bénéficier de droits propres
aux brevets; la Société éditrice n’accepte aucune
responsabilité du fait de l’absence de mention à ce sujet.
Conformément à l’art. 30 de la Loi sur les Brevets, les
circuits et schémas publiés dans Elektor ne peuvent être
Notre équipe
réalisés que dans des buts privés ou scientifiques et Rédactrice en chef : Mariline Thiebaut-Brodier ([email protected])
non commerciaux. L’utilisation des schémas n’implique Rédaction internationale : Thijs Beckers, Jan Buiting, Jens Nickel
aucune responsabilité de la part de la Société éditrice.
Laboratoire : Ton Giesberts, Luc Lemmens,
La Société éditrice n’est pas tenue de renvoyer des
Clemens Valens (responsable), Jan Visser
articles qui lui parviennent sans demande de sa part
et qu’elle n’accepte pas pour publication. Si la Société Coordination : Hedwig Hennekens
éditrice accepte pour publication un article qui lui est Ont coopéré à ce numéro : Yves Georges, Pascal Godart, Robert Grignard,
envoyé, elle est en droit de l’amender et/ou de le faire
Denis Lafourcade, Jean-Louis Mehren, Denis Meyer,
amender à ses frais; la Société éditrice est de même en
Hervé Moreau, Helmut Müller, Kévin Petit, Xavier Pfaff,
droit de traduire et/ou de faire traduire un article et de
Guy Raedersdorf
l’utiliser pour ses autres éditions et activités, contre la
rémunération en usage chez elle. Service de la clientèle : Cindy Tijssen

Graphistes : Giel Dols, Mart Schroijen, Patrick Wielders


Imprimé aux Pays-Bas
par Senefelder Misset – Doetinchem Elektor en ligne : Daniëlle Mertens
Distribué en France par M.L.P.
et en Belgique par A.M.P.

www.elektormagazine.fr septembre/octobre 2018 3


ce mois-ci détection de
coupure de secteur
41e année – nº 473
septembre/octobre 2018

avec alarme SMS


5 bientôt dans Elektor
39 agenda
septembre-octobre 2018

42 Q & R
(presque) tout ce que vous avez toujours détecte et signale
voulu savoir sur… l’achat d’appareils de
mesure d’occasion même les coupures brèves
52 diodes Gunn
drôle de composant n°38

54 vol tous azimuts


HAM RADIO et
Maker Faire au lac de Constance
piloté par Guido Schönwälder LoRa ? L’aura pas ?

6
104 Rétronique Localisateur à 2,4 GHz pour
tubes de qualité spéciale (SQ),
le nec plus ultra
déterminer la distance ET
de la technologie des tubes électroniques la direction d’un objet perdu
110 questions d’éthique S’il existe aujourd’hui de nombreuses
ville intelligente : en toute confiance ? technologies aidant à localiser un objet perdu, la
Amsterdam travaille à un algorithme fiable plupart souffrent des mêmes limitations : elles
ne sont utiles que si les objets sont situés à une
112 l’e-choppe d’Elektor distance inférieure à la portée Bluetooth (10 à
114 hexadoku 30 m), ou bien elles vous obligent à acheter
casse-tête pour elektorniciens un modem cellulaire et à payer des frais de
service mensuels pour l’envoi des données GPS.
Cet article vous montre comment localiser des
objets qui peuvent se trouver à des kilomètres
de distance (sans ordiphone ou récepteur GPS)
– en indiquant non seulement la distance par
rapport à l’objet, mais aussi sa direction !

en coulisse
RÉTRONIQUE
10 bruits de labo… 58 convertisseur MLI vers CN/A
stencil « top » au top puce à entrée MLI et sortie analogique
le nec plus ultra
20 Elektor Board Games 70 conception de matériel avec (V)HDL (1) de la technologie des
concours parrainé par premiers pas avec Quartus et Modelsim
Avnet Silica et EBV Elektronik tubes électroniques
92 hors-circuits de R. Lacoste
24 comparatif de trois analyseurs de
spectre à des prix abordables
modulations numériques :
codage des données NRZ, Manchester & Co
tubes de
beaucoup de puissance pour une somme
relativement modique
103 projet 2.0 qualité
corrections, mises à jour
40 dernier appel ! et courrier des lecteurs spéciale (SQ)
electronica Fast Forward, the Start-up
Platform powered by Elektor

4 septembre/octobre 2018 www.elektormagazine.fr


104
12 lektor
DÉCOUVRIR CRÉER PARTAGER

22 amplificateur BF
pour sinus modulé en fréquence
un plaidoyer pour l’analogique

32 carte ESP32 Pico-Discovery-Board


l’expérimentation facilitée

44 machine à cocktail connectée


la grande gagnante du concours ESP32

62 fréquencemètre
à étalonnage automatique
pas besoin d’ajustage

66 serveur web ESP8266


pour ruban de LED NeoPixels
agitation de puces WS2812 avec l’ESP-01

76 variateurs pour LED (1)


analogiques, mais pas dénués d’intérêt…
comparatif de trois analyseurs de 81 alimentation fantôme
à condensateurs commutés
spectre à des prix abordables tension triplée avec deux circuits intégrés

beaucoup de puissance pour une somme relativement modique 84 shield SDR 2.0 d’Elektor (2)
transmission numérique de données
Dans le numéro de novembre d’Elektor 2017, j’avais mis en lumière la
en ondes courtes
fonction FFT des oscilloscopes numériques modernes (cf. article sur les mini-
oscilloscopes). Cette fonction supplémentaire, le plus souvent gratuite, est 88 horloge nostalgique à LED
particulièrement utile pour l’analyse des signaux, avec toutefois quelques 74xx around the clock
limites. La plupart des électroniciens habitués à l’utilisation d’« authentiques »
98 détecteur de pluie
analyseurs de spectre ne tarderont pas à se heurter à ces

24
qui sort de l’ordinaire
barrières. Jadis, les analyseurs dédiés étaient inabordables.
Ces temps sont heureusement révolus. Aujourd’hui, on
trouve sur le marché des appareils neufs très corrects à des
prix abordables. D’où l’intérêt d’un comparatif.

bientôt sur ces pages

Extrait du sommaire du prochain numéro :


• Arduino & DDS
sur la scène : les projets • Carte tampon pour RPi
• Compteur de vitesse pour hamster
• Convertisseur USB vers DMX
• Économies d’énergie (microcontrôleurs, méthodes)
• ESP8266 dans le modélisme
6 LoRa ? L’aura pas ? • Filtre crossover actif
localisateur à 2,4 GHz pour déterminer la
• OLED de couleur
distance ET la direction d’un objet perdu
• Station de soudage faite maison
12 détection de coupure de secteur Etc.
avec alarme SMS
détecte et signale même les coupures Sous réserve de modification.
brèves Le numéro de novembre-décembre 2018 paraîtra le 18 octobre 2018.

www.elektormagazine.fr septembre/octobre 2018 5


PROJET DE LECTEUR

LoRa ? L’aura pas ?


Localisateur à 2,4 GHz pour déterminer
la distance ET la direction d’un objet perdu
Don Powrie

S’il existe aujourd’hui de nombreuses technologies aidant à localiser un objet perdu, la plupart souffrent
des mêmes limitations : elles ne sont utiles que si les objets sont situés à une distance inférieure à la portée
Bluetooth (10 à 30 m), ou bien elles vous obligent à acheter un modem cellulaire et à payer des frais de
service mensuels pour l’envoi des données GPS. Cet article vous montre comment localiser des objets qui
peuvent se trouver à des kilomètres de distance (sans ordiphone ou récepteur GPS) – en indiquant non
seulement la distance par rapport à l’objet, mais aussi sa direction !

Il existe à n’en pas douter une palanquée moins est-elle exploitable sans autorisa- de 24 bits chargé de mesurer le temps
d’applications possibles pour ce localisa- tion administrative. Semtech™ a donné d’aller-retour des paquets de données ;
teur, mais la plus utile est à mes yeux un attrait supplémentaire à cette bande la capacité de l’esclave à répondre à ces
celle permettant de localiser un chien. en ajoutant la modulation LoRa® à son paquets « télémétriques » sans interven-
Un de mes amis possède un magnifique émetteur-récepteur SX1280. Cette puce tion du microcontrôleur hôte. Une fois
Doberman auburn qui adore courir après vise ainsi les applications ayant besoin placé en mode Ranging (télémétrie),
les lapins folâtrant dans la verdure de d’un bilan de liaison plus élevé pour une un SX1280 esclave reçoit et retransmet
notre quartier de banlieue. Son chien portée plus grande. Le web regorge d’in- ces paquets uniquement « par silicium »,
est si costaud qu’à moins de l’avoir bien formations sur la modulation LoRa, aussi d’où un temps de réponse le plus rapide
en laisse, vous pouvez être certain qu’il ne m’étendrai-je pas sur cette technique. possible par paquet.
disparaîtra de votre vue en un éclair dès Je me contenterai de dire que la sensi- Pour mon localisateur, j’ai opté pour le
qu’il apercevra un lapin. Chaque fois que bilité de réception de la puce SX1280 en module pré-certifié RFS1280 de DLP
c’est arrivé, mon ami a parfois mis des mode LoRa est bien supérieure à celle de Design (fig. 1), essentiellement pour
heures à le retrouver. la plupart des émetteurs-récepteurs non- son antenne imprimée puisque je souhai-
LoRa à 2,4 GHz, sinon de tous. Certes, ce tais concevoir un dispositif aussi compact
SX1280, 2,4 GHz et télémétrie progrès est essentiellement dû à « l’ex- que possible. (Les activités de l’esclave
Si la bande de 2,4 GHz a entre autres pansion » de LoRa à travers le numé- reposent uniquement sur cette petite
inconvénients de ne pas pénétrer faci- rique, mais le résultat n’en est pas moins antenne intégrée.)
lement les murs et les objets solides, au là, une amélioration sensible de la dis-
tance TX/RX entre émetteurs-récepteurs. Association
Mode LoRa longue portée mis à part, Deux émetteurs-récepteurs RF doivent
ce qui rend le SX1280 si unique et par- partager leurs ID pour communiquer
ticulier à mes yeux est sa télémétrie exclusivement entre eux (sans tenir
intégrée. Elle fonctionne par partage compte des autres émetteurs-récep-
d’un identifiant (ID) entre deux SX1280 teurs présents). Ce processus est appelé
dont l’un, configuré en maître, envoie association (pairing en anglais). Ici le
en mode LoRa des paquets de données microcontrôleur hôte est un STM32L073
à l’esclave. Celui-ci renvoie ces paquets à 32 bits implanté sur une carte de
synchronisés au maître, qui mesure alors développement Nucleo de STMicro-
leur « temps de vol » afin d’en déduire la electronics™. Chaque STM32L073 pos-
distance entre les deux puces. Je simpli- sède un ID unique lisible à l’adresse
fie à l’extrême bien sûr, l’essentiel est de 0x1FF80050. Sur les 32 bits lus à cette
comprendre que cette télémétrie repose adresse, j’en ai écarté 16, gardant
sur deux points : l’horloge du maître et les 16 autres pour l’ID à communiquer
Figure 1. Émetteur-récepteur LoRa DLP- sa fréquence élevée (2,4 GHz) caden- à un autre émetteur-récepteur.
RFS1280 à 2,4 GHz. çant un compteur/temporisateur (timer) L’association se fait en sélectionnant un

6 septembre/octobre 2018 www.elektormagazine.fr


Figure 2. Système de collecte des données pour le calibrage des courtes Figure 3. Système de collecte des données pour le calibrage des longues
distances (0,6 à 300 m). distances.

ensemble standardisé de paramètres RF arithmétique de tous ces temps de vol dont j’ai légèrement amélioré la directi-
(fréquence TX/RX, type de modulation, sert ensuite à calculer la distance esti- vité en ajoutant deux directeurs au cir-
largeur de bande, etc.), l’ID étant trans- mée entre les deux puces. cuit. Le calcul de la direction utilise la
mis après mise sous tension ou initia- valeur RSSI (Received Signal Strength
lisation du microcontrôleur. Pour asso- Calibrage Indication) fournie par l’émetteur-récep-
cier deux émetteurs-récepteurs, celui L’implantation matérielle du système teur esclave. Le fonctionnement est le
qui reçoit l’ID est placé en mode wait télémétrique permet d’atteindre la pré- suivant : le localisateur envoie à l’es-
avec les paramètres sélectionnés, l’autre cision souhaitée, mais une description clave un paquet LoRa avec un facteur
étant simplement réinitialisé. Une fois ce détaillée de son calibrage sortirait du d’étalement (Spreading Factor) de 12.
processus répété avec le second émet- cadre de cet article. Sans entrer dans les L’esclave mesure alors l’intensité RSSI
teur-récepteur, l’association est effective. détails donc, une façon d’y parvenir est de ce paquet et la renvoie au localisa-
(À partir de cet instant, tout paquet émis de mesurer le temps de vol entre deux teur. Puisque celui-ci utilise une antenne
est ignoré s’il ne contient pas l’ID du des- émetteurs-récepteurs séparés d’une dis- directionnelle, l’esclave reçoit un signal
tinataire dans la charge utile.) tance connue et n’offrant aucune possibi- plus fort (RSSI plus grand) lorsque l’an-
lité de trajets multiples (p. ex. un câble tenne pointe directement vers l’esclave.
Temps de vol coaxial de 30 m). La vitesse de la lumière Pour indiquer la direction de la cible, le
Il faut un compteur à très haute résolu- étant connue, un simple calcul permet localisateur fournit cette valeur RSSI
tion pour mesurer le temps de vol d’un alors le calibrage. Une autre possibilité à la fois sous forme audio (tonalité) et
paquet RF voyageant presque aussi vite est de récolter de nombreuses données visuelle (indicateurs graphiques sur affi-
que la vitesse de la lumière. Avec l’hor- de distance dans des environnements cheur LCD).
loge de 2,4 GHz incrémentant le comp- dégagés, ces distances allant de 60 cm Concrètement, sélectionnez un ID d’es-
teur de 24 bits jusqu’à ce que le maître à 3 km. On effectue ensuite une régres- clave parmi la liste des identifiants appris
reçoive la réponse Ranging, la résolu- sion polynomiale sur ces données pour (voir ci-dessous), et effectuez autour de
tion temporelle est d’environ 400 pico- calculer la distance. C’est cette méthode vous un lent balayage horizontal sur 360°
secondes. La vitesse de la lumière étant que j’ai suivie pour calibrer mon sys- avec l’antenne Yagi. Écoutez la tona-
d’environ 30 cm par nanoseconde, cela tème ; les figures 2 et 3 montrent le lité : sa fréquence (hauteur) augmente
équivaut pour la distance à une résolu- système de collecte des données utilisé. à mesure que l’antenne s’aligne avec
tion d’environ 15 cm. Pour les distances supérieures à 3 km, la cible. Une fois la direction détermi-
La mesure du temps de vol des paquets je me suis rendu près d’un lac pour être née, réglez le commutateur sur Range
RF se heurte à plusieurs obstacles, dont certain d’effectuer mes mesures en visi- et commencez à marcher. L’écran affiche
celui des réflexions : un paquet qui par- bilité directe (fig. 3). en temps réel la distance qui vous sépare
vient au récepteur peut en effet avoir de la cible.
emprunté un chemin indirect s’il a Direction
été réfléchi par un ou plusieurs objets Connaître la distance qui nous sépare de Mode d’apprentissage
en cours de route. La fréquence de la l’émetteur-récepteur d’un objet perdu Plutôt que de n’avoir qu’une seule paire
porteuse RF est donc modifiée pour est un bon point de départ, mais quelle d’émetteurs-récepteurs associés, je me
chaque paquet Ranging envoyé, et ce direction prendre ? Pour la déterminer, suis dit qu’il serait utile que le localisa-
sur 40 canaux de fréquences comprises j’ai conçu un localisateur (fig. 4) utili- teur puisse apprendre les ID d’une cin-
entre 2,402 et 2,48 GHz, le processus sant une antenne Yagi à gain élevé [1] quantaine de puces. J’ai donc ajouté un
étant répété plusieurs fois. La moyenne dont j’ai trouvé le schéma sur le web et mode Learn. Pour y entrer, appuyez sur

www.elektormagazine.fr septembre/octobre 2018 7


le bouton Up, maintenez-le enfoncé et Pour trouver et sélectionner un émet-
mettez le localisateur sous tension avec teur-récepteur parmi les esclaves lis-
le bouton d’alimentation situé au bas de tés, utilisez les boutons Up et Down. La
la carte. Le localisateur envoie alors un mémoire EEPROM du STM32L073 sert
paquet à tous les esclaves présents pour également à enregistrer l’esclave sélec-
leur demander leur ID. Chaque esclave tionné, autrement dit à ce qu’il soit l’es-
attend entre 2 et 64 ms (durée définie clave par défaut au prochain démarrage.
de façon aléatoire) avant de transmettre
son ID. Le localisateur les stocke dans Émetteurs-récepteurs esclave
sa mémoire EEPROM, puis envoie un Pour les esclaves, j’ai utilisé le DLP-RF-
paquet à chaque ID enregistré avec pour S1280ACT de DLP Design (fig. 5), car
ordre de ne pas répondre à la prochaine son micrologiciel est pratiquement iden-
requête Learn. tique à celui du localisateur.

Code source
Le code qui m’a servi de point de départ
est le programme en C++ pour la plate-
forme de démonstration du SX1280. Il
est disponible sur le site Mbed (car les
ingénieurs de Semtech ont effectué le
gros du travail en s’appuyant sur des
bibliothèques Mbed). Tout ce que j’ai eu
à faire est de convertir ce C++ en C,
mon langage de prédilection lorsqu’il
s’agit d’écrire des micrologiciels. Ce tra-
vail a été d’autant plus plaisant que j’ai
pu utiliser le compilateur C gratuit de Figure 5. Le DLP-RFS1280ACT utilisé comme
Keil destiné aux contrôleurs STM32F0 et émetteur-récepteur esclave.
STM32L0. Leur compilateur MDK [2] per-
met en effet de placer des points d’arrêt,
d’exécuter le code pas à pas en visua-
lisant le contenu des variables, etc. Les autres éléments), puis je l’ai exporté vers
bibliothèques intégrées de la plateforme MDK. Comme le processus exporte aussi
Mbed sont bien sûr idéales pour mettre toute la bibliothèque Mbed, je bénéficiais
rapidement sur pied un projet, mais un ainsi au besoin de certaines de ses fonc-
bon débogueur reste indispensable dès tions bien pratiques. L’essentiel du travail
lors que l’on s’attaque sérieusement à de conversion en C fut dès lors assez
l’écriture d’un micrologiciel. simple et ne me prit que quelques jours
L’étape suivante consista à sélection- puisque, encore une fois, Semtech avait
ner un microcontrôleur STM32 différent déjà écrit l’essentiel du code dont j’avais
de celui du matériel de démonstration besoin pour le SX1280. Le code final est
de Semtech, le STM32L476 de la carte relativement facile à comprendre. Vous
NUCLEO-L476RG. C’est un contrôleur le trouverez sur le site de DLP Design
très puissant, doté de 1 Mo de mémoire et sur la page associée à cet article [3].
flash, mais quelque peu gourmand en
énergie. Il convient parfaitement aux Localisation des… problèmes
phases de développement durant les- L’utilisation d’une antenne Yagi et de la
quelles on ne connaît pas encore les modulation LoRa m’ont permis d’obte-
besoins exacts du projet, mais où l’on nir des résultats très satisfaisants. J’ai
veut être certain de ne pas manquer d’abord réussi à localiser des émet-
de puissance ou de mémoire. J’ai fina- teurs-récepteurs situés dans ma maison,
lement opté pour le STM32L073, d’une puis dans mon quartier, et ce sans être
part pour son prix, d’autre part pour éco- gêné par les maisons voisines.
nomiser de la puissance (un point à ne Fort de ce succès, je me suis rendu dans
pas négliger pour des dispositifs alimen- trois grandes surfaces pour étudier la
tés par piles, p. ex. un collier de chien) réponse du système dans un environ-
et parce que Mbed prend en charge la nement plein de rayonnages métal-
carte NUCLEO-L073RZ. liques, de clients, etc. Dans chacun de
J’ai créé un squelette de programme ces magasins (que j’appellerai M1, M2
depuis le site Mbed (en ne gardant que et M3 ci-après) j’ai placé un émetteur-
Figure 4. Le localisateur et son antenne Yagi. le code de l’interface SPI et quelques récepteur esclave à un angle du bâtiment

8 septembre/octobre 2018 www.elektormagazine.fr


et me suis rendu à l’angle opposé avec le directe. J’ai ainsi pu déterminer la dis- un obus au moindre lapin aperçu, mais
localisateur. Dans M1, après envoi d’un tance et la direction d’émetteurs-récep- au moins l’aidera-t-il à le retrouver avant
ping, la distance affichée était de 168 m teurs éloignés de 3,2 km. l’aube !
et aucun paquet n’avait été perdu. Mais si Évidemment, ce localisateur n’empêchera (180175 - version française : Hervé Moreau)
l’esclave avait donc été aussitôt détecté, pas le chien de mon ami de partir comme
impossible de déterminer sa direction :
le RSSI gardait pratiquement la même
valeur dans toutes les directions. J’ai dû
Liens
me placer au centre du magasin pour
enfin voir cette valeur augmenter lorsque [1] Note d’application DN034 – SWRA350, 2.4 GHz YAGI PCB Antenna,
je pointais le localisateur dans la direction By Richard Wallace & Steve Dunbar : www.ti.com/general/docs/litabsmulti-
de l’esclave. Dans M2, où j’ai comme pré- plefilelist.tsp?literatureNumber=swra350
cédemment déposé l’esclave dans un coin [2] Compilateur ARM KEIL : www2.keil.com/stmicroelectronics-stm32/mdk
de la grande surface, je n’ai pas obtenu [3] Fichiers du projet : www.elektormagazine.fr/180175
de réponse au ping envoyé depuis le coin
opposé (soit une distance de 192 m). Il
a de nouveau fallu que je me place au
centre pour obtenir une réponse, et par
la même occasion lire la direction cor- @ www.elektor.fr
recte. Dans M3, où la distance de test ªKit de développement Dragino LoRa pour IdO (868 MHz)
était là aussi de 168 m, j’ai observé le www.elektor.com/dragino-lora-kit-868-mhz
même comportement que dans M1. Ma ªInterface mbed Elektor (150554-71)
conclusion est que sous réserve de se www.elektor.fr/elektor-150554-71
déplacer un peu s’il le faut, le localisateur ªShield Dragino LoRa/GPS pour Arduino (868 MHz)
fonctionne bien dans ce type d’environ- www.elektor.fr/dragino-shield-for-arduino-868-mhz
nement, en particulier si l’on s’y tient
ªCarte STM Nucleo-L476RG
au centre. www.elektor.fr/stm-l476rg-board
Comme attendu, les meilleurs résultats
ont été obtenus à l’extérieur et en visée

Publicité

Code source et fichiers Gerber :


où sont-ils ?
WIZnet’s New
Vous êtes nombreux à chercher
Serial to Ethernet Modules
les fichiers associés (code, circuit
imprimé…) aux articles publiés
WIZ750SR / WIZ752SR W5500S2E / W7500S2E
dans le magazine, dans la série
series series
de livres « 3XX circuits » ou
encore dans les compilations.
Malheureusement, en dépit
de notre vigilance, vous
tombez parfois sur des liens
morts. Ceux-ci sont un dommage
collatéral de l’évolution constante des
sites d’Elektor et nous vous remercions de nous les
signaler. Nous les corrigerons aussitôt. · Pin-to-Pin Compatible with · Supports NetBIOS
Le plus sûr chemin pour trouver un fichier lié à un WIZ1xxSR series · Enable ModBUS
article publié est de commencer par repérer les six · GUI/CLI Configuration tool · Supports built-in web server
premiers chiffres de la référence mentionnée en
fin d’article dans le magazine. Prenons par ex. le
· Up to 460.8kbps · Up to 1.152Mbps
« Chargeur de batteries à panneaux solaires » publié
en juillet 2008. Sa référence est 080225-I. Cost Effective Prices Unit Price : at 1K pcs / USD
Il suffit maintenant de saisir dans votre navigateur
l’URL suivant : www.elektormagazine.fr/080225 WIZ750SR-100 $ 9.9 WIZ752SR-120 $ 9.9 W7500S2E-R1 $ 9.9
Vous accéderez automatiquement à la page associée à
cet article avec tous les téléchargements disponibles.
is an IoT Device Platform company
La rédaction à votre service. with LEARNING, ECOSYSTEM and CONTENT.

WIZnet.io

www.elektormagazine.fr septembre/octobre 2018 9


stencil « top » au top
Jennifer Aubinais tout dans ce cas. Quoi qu’il en soit,
c’est une bonne idée de vérifier
le stencil avant de le commander.
Il y a quelques années, lors de Avec le circuit imprimé et le sten-
la réalisation du projet de « Ther- cil customisé en main, procédez
momètre sans fil Bluetooth Low comme suit :
Energy » [1], je devais position-
ner avec précision un petit module 1. Sur le plan de travail, posez une
Bluetooth sur le circuit imprimé feuille magnétique plus grande
avant de pouvoir le souder au que le circuit imprimé. Celle-ci
four. Le module en question pos- doit avoir une force magnétique
sède trois encoches d’un diamètre assez puissante (p.ex. 20 g/cm2)
de 1,6 mm, j’ai donc dessiné mon afin de bien stabiliser les équerres
circuit imprimé avec trois trous en fer (voir l’étape 3). Ce genre de
correspondants aux encoches ; feuilles se trouve facilement sur
ensuite, à l’aide de trois petites l’internet pour quelques euros. Si
Figure 1. Un polygone « circulaire » (1) dessiné dans Eagle à
vis du même diamètre que les l’aide des boutons « Wire bend » et la valeur « Radius » (2) sur la force magnétique n’est pas indi-
encoches, j’ai pu placer le module la couche « 31 tCream » (3). quée, choisissez-en une autre.
avec une grande précision. 2. Posez le circuit imprimé au
Le projet n’utilisait que des com- milieu de la feuille magnétique.
posants CMS que j’avais prévu de 3. Calez le circuit imprimé avec
souder au four. Pour étaler la pâte quatre barres ou équerres en fer
à souder correctement sur le cir- d’une épaisseur idéale de 1,8 mm
cuit imprimé, je comptais utiliser (faites un tour dans votre magasin
un stencil. Mais comment placer de bricolage préféré).
le stencil correctement et de façon 4. Posez le stencil sur le circuit
stable sur le petit circuit imprimé ? imprimé.
À l’aide de ces trois petits trous, 5. Aidez-vous des vis et des écrous
bien sûr ! pour positionner le stencil exacte-
Comme logiciel de conception de ment en face des trous. Les écrous
circuit imprimé, j’utilise Eagle. Cet sur les vis servent à régler la lon-
outil fonctionne avec des couches, gueur des vis (fig. 2).
par exemple une pour le cuivre 6. Bloquez la position du stencil sur
du dessus « 1 Top », une pour le les équerres avec des aimants forts
cuivre du dessous « 16 Bottom », (préférez ceux en néodyme).
etc. et aussi une pour le sten- Figure 2. Mille-feuille extrait de la vidéo [2] : une feuille 7. Retirez les vis.
cil « top », c’est la couche « 31 magnétique (1), quatre équerres en fer (2) pour caler le circuit 8. Étalez la pâte à souder.
tCream ». Or, dans cette couche imprimé, puis le stencil (3) et une vis d’alignement (4).
on trouve uniquement les pastilles Voilà comment on peut effectuer
des composants CMS, il n’y a pas un travail de précision à la mai-
d’autres ouvertures ; les trous et autres Une autre solution est de placer les per- son avec un peu d’imagination et de la
découpes n’y figurent pas. Il faut donc çages au bon endroit (avec la fonction patience. J’ai réalisé une petite vidéo qui
rajouter des ouvertures à la main, ce qui « Hole ») comme vous le feriez pour montre comment faire [2].
est possible avec la fonction « Polygon » n’importe quel autre trou de fixation et 180332-01
et un peu de patience (fig. 1). Pour des- ensuite de se concerter avec le fabricant
siner un polygone « circulaire », expéri- du stencil. C’est la communication qui fait
mentez avec les boutons « Wire bend »
et la valeur « Radius » (qui apparaissent
en haut quand on sélectionne la fonction Liens
polygone). Pensez à adapter l’épaisseur
[1] « Thermomètre sans fil Bluetooth Low Energy », Elektor, 01/2015 :
du contour du polygone en fonction du
www.elektormagazine.fr/140190
diamètre final voulu. Laissez assez d’es-
pace autour de ces trous pour pouvoir [2] Vidéo : www.youtube.com/watch?v=0YlKxtYwQiE
caler le stencil avec des vis et des écrous [3] Page de cet article : www.elektormagazine.fr/180332
(voir plus bas).

10 septembre/octobre 2018 www.elektormagazine.fr


ABONNEZ-VOUS ET RECEVEZ
RPI ZERO W
GRATUIT
Souscrivez dès maintenant
un abonnement d’un an au
magazine MagPi, nous vous
offrons :
Six numéros du magazine MagPi
Une carte Raspberry Pi Zero W

ENT
Un boîtier avec trois couvercles différents
SEULEM

54,95 €
Un connecteur pour module de caméra
TOUS LES 2 MOIS, LES DERNIÈRES
Un câble HDMI/mini-HDMI
PAR ANOS)
NOUVELLES DU RASPBERRY PI ET

et un câble micro-USB/USB OTG


LES MEILLEURS PROJETS !
ÉR
(6 NUM

Vos avantages :
Prix au numéro réduit
Chaque numéro directement dans votre
boîte aux lettres
Tous les numéros disponibles sous forme
numérique (PDF)
Cadeau de bienvenue d’une valeur
de 22,95 €
Découverte de chaque nouveau numéro
avant sa sortie en kiosque

ABONNEZ-VOUS : WWW.MAGPI.FR
28 n°2 • mai - juin 2018 magpi .fr
PROJET DU LABO

détection de coupure de
secteur avec alarme SMS
détecte et signale
même les coupures brèves
Idée : Horst van Bremen (Allemagne)

Développement et texte : Mathias Claussen


et Luc Lemmens (labo d’Elektor)

En Europe occidentale, les coupures de


courant sont assez rares et, quand ça
arrive, plutôt brèves. Toutefois, si dans
une résidence secondaire le chauffage
ne redémarre pas, cela peut revenir
assez cher. Et si, à la maison, cela
met le congélateur hors service
pendant qu'on est en vacances, le
retour chez soi prend une mauvaise
odeur, au sens propre du terme. Le
détecteur présenté ici est à l'affût
des coupures, même brèves, et
donne l'alarme par SMS.

C'est exactement ce qui est arrivé à notre


lecteur Horst van Bremen : suite à une
coupure de courant, le chauffage n'a pas Problématique
redémarré et les dégâts dus aux moisis- Tous les appareils électriques ou
sures ont exigé un assainissement coû- électroniques ne redémarrent pas auto-
teux. L'électronicien avisé se doit d'an- matiquement après une coupure de cou- aussi à la
ticiper, s'est-il dit après cette coûteuse rant. Il y en a qui ne supportent même maison pour certains
expérience, et de concevoir un appa- pas la disparition de quelques périodes congélateurs ou systèmes d'arro-
reil qui détecte les coupures de courant du secteur et passent hors service. Il n'y sage, pendant qu'on passe ses vacances
même très courtes et les transmet à une a pas que dans les résidences secon- de l'autre côté du globe. Et on n'a pas
plateforme web. daires que cela pose problème, mais toujours un voisin pour une ronde quo-

12 septembre/octobre 2018 www.elektormagazine.fr


tidienne ou qui ne remarquera peut- ou coûteux. Mais aujourd’hui, à l’époque
être même pas que quelque chose ne des microcontrôleurs, des réseaux INFOS SUR LE PROJET
fonctionne plus. C'est alors que le mon- denses d’ordiphones et des modules GSM surveillance du secteur
tant des dégâts peut devenir tel, qu'une bon marché et faciles d’emploi, la réali-
SMS modem GSM
mesure de prévention électronique prend sation d’un tel système n’est plus aussi
de l'intérêt. difficile. Il n’est donc pas étonnant que ATmega
Un bon système de prévention des la solution adéquate ne paraisse qu’au-
débutant
dégâts causés par une coupure de cou- jourd’hui, et non pas dans un Elektor
Æ connaisseur
rant devrait pouvoir informer son pro- de 1975 - malgré son indiscutable utilité
expert
priétaire, quel que soit le lieu sur dans la prévention de frais considérables.
Terre où il est en train de se Horst van Bremen avait envoyé son idée
balader. Jadis, un tel de détecteur de coupure de courant à
3h
système aurait été Elektor, où nous avons commencé par
compliqué et/ analyser sa solution. Le prototype trans-
mettait les informations sur l’état à une
Fer à souder avec panne
plateforme web. Nous avons pensé qu’un
étroite ou station de sou-
appareil autonome serait utilisable par
dage à air chaud
davantage de lecteurs et plus versatile,
alors l’idée, passée sous la houlette de
Luc Lemmens et de Mathias Claussen,
env. 100 €
a été « elektorisée », c’est-à-dire com-
plètement retravaillée dans notre labo.
La connexion internet a été remplacée

par l’envoi de SMS. Le résultat est un


détecteur de coupure de courant uni-
versel qu’on peut mettre
en service partout
où coexistent un
secteur à surveiller
et un réseau mobile.

Fonctions
En cas de détection de
coupure de courant, un
SMS est immédiatement
envoyé à un numéro d’or-
diphone programmable, puis
un second au retour du cou-
rant. Mais ce n’est pas tout :
bien entendu, il est prévu une
alimentation de secours par
piles, dont la tension elle-même
est surveillée. Si cette tension
tombe en dessous d’un certain seuil
à cause d'une coupure de courant
prolongée ou du vieillissement des
piles, il y a aussi envoi d’un SMS. On
a alors la possibilité de contrôler soi-
même l’incident ou, en cas d’impossibi-
lité, d’appeler un ami ou un voisin pour
le prier de s’en assurer.
Le matériel lui-même ne présente pas
une très grande complexité (voir le
schéma fonctionnel de la figure 1) car
il est basé sur un microcontrôleur AVR
et pourrait de ce fait être programmé au
moyen de l’environnement de dévelop-
pement intégré classique Arduino. Ici,
nous avons toutefois utilisé AtmelStu-

www.elektormagazine.fr septembre/octobre 2018 13


l’altération de la forme de la tension), on
contrôle l’absence de passage par zéro
de la tension du secteur pendant 250 ms.

GSM
L’absence de tension alternative pendant

Detector Controller
250 ms vaut donc coupure de courant. En
Module plus de la simplicité, ce type de détection
offre l’avantage qu’il ne dépend pas de
la fréquence du secteur (50 ou 60 Hz).

Matériel
Le microcontrôleur universellement

Power Battery
apprécié ATmega328P (IC2) est l’or-

Supply
gane central du circuit de la figure 2
(Backup) (en haut à droite). Les demi-ondes posi-
tives de la tension du secteur sont cap-
tées par l’optocoupleur IC3 et arrivent,
après isolation galvanique, sur la bro-
Figure 1. Le schéma fonctionnel du détecteur de coupure de courant se compose de cinq modules che 1 de IC2. Comme la LED émettrice
fonctionnels séparés. d’un optocoupleur n’a pas une tension
inverse très élevée, les demi-ondes néga-
tives sont dérivées par D1. Sur un sec-
dio 7 pour développer un logiciel com- • SMS à la coupure du courant teur de 230 V, une tenue en tension suf-
pact en C, dont il sera question plus en • SMS au retour du courant fisante est assurée par les deux résis-
détail ultérieurement. Les possibilités du • SMS quand il faut remplacer les piles tances R8 et R9 en série. Sur un secteur
projet ont un coût, sous la forme de res- de secours (<3,9 V) de 115 V, une seule des résistances suffit
sources suffisantes sur le microcontrô- • SMS quand les piles de secours sont et l’autre peut être remplacée par un
leur. À la place du microcontrôleur d’ori- épuisées (<3,7 V) fil. Cette configuration de détecteur est
gine avec seulement 8 Ko de mémoire • Passage en mode basse consomma- pratiquement insensible aux pics de ten-
flash et 512 octets de RAM, nous avons tion sur coupure de courant après sion pouvant se produire sur le secteur,
choisi le classique du monde Arduino, l’envoi du SMS hors le cas rarissime d’un coup de foudre
l’ATmega328P, qui offre 32 Ko de flash • Programmation du numéro d’appel tombant directement sur le câble des-
et 2 Ko de RAM. Il y a donc maintenant pour l’envoi du SMS servant la maison.
assez de place pour des extensions ou • Enregistrement de la configuration L’utilisation du convertisseur alternatif/
des adaptations personnelles. sur EEPROM continu MOD1 [1] au lieu d’une alimen-
tation traditionnelle à transformateur est
Le microgiciel présente les fonctions Par mesure de sécurité, pour éviter les tout à fait conforme à l’usage profession-
suivantes : faux positifs (par exemple les pics ou nel. Outre qu’elle trouve facilement place
sur un circuit imprimé, cette solution de
conversion des 115/230 V alternatifs en
LED 5 V continus, compatible avec tous les
réseaux, est utilisable dans pratiquement
La LED jaune est reliée directement au 5 V et s’allume donc tant que la tension
tous les pays du monde. Ce module est
du secteur est présente. La LED verte est commandée par MOD2 à travers T1
protégé contre les courants élevés à la
et signale l’accès à un réseau de téléphonie mobile. Il y a encore les trois LED
mise sous tension par le fusible F1 ainsi
connectées au microcontrôleur : la LED3 rouge est l’indicateur de l’état des piles,
que par la résistance CTN R6, car MOD1
la LED4 orange atteste de la présence de la tension alternative et la LED5 verte
est évidemment du type alimentation à
donne l’état du modem GSM, selon le tableau suivant :
découpage avec condensateur tampon
après le redresseur d’entrée. Du côté
de la sortie, le régulateur à faible chute
LED État Fonction
de tension IC1 est alimenté par MOD1
éteinte piles OK ou coupure de courant
en 5 V filtrés par L1 et plusieurs conden-
clignote piles faibles, à remplacer rapidement sateurs. Le régulateur IC1 en fait 4,4 V,
LED3
allumée piles épuisées, impossible d’envoyer un SMS qui alimentent sur « V+ » d’une part
éteinte coupure de courant LED1 et LED2, d’autre part le commuta-
LED4
clignote tension alternative présente teur intégré rapide IC5. Ce circuit intégré,
éteinte modem non prêt ou coupure de courant immatriculé LTC4413 [2] est décrit par
son fabricant comme « dual 2.6A, 2.5
clignote @ 1 Hz modem en réseau, mais pas de numéro de portable
LED5 to 5.5V fast ideal diodes ». Il peut donc
clignote @ 10 Hz modem initialisé, mais pas en réseau
basculer très rapidement et sans inter-
allumée modem en réseau et prêt à l’emploi ruption l’alimentation sur les 4,5 V des
trois piles de BT1 en cas de coupure de

14 septembre/octobre 2018 www.elektormagazine.fr


+2V8
+2V8
+2V8
L2
closed = factory defaults R1
JP1 C1 10uH C22
R14 1
9

10k
2
STAT 100n 100n

100k
1 10
INA OUTA 4 6 18 20 MOSI
2 IC5 +4V4
ENBA VCC VCC AVCC AREF
BT1 R15 12 15
PB0(PCINT3/CLKO/ICP1) PB3(PCINT3/OC2A/MOSI) K1
4
LTC4413 6 LED5 13 16 MISO 1 2
ENBB OUTB PB1(PCINT1/OC1A) PB4(PCINT4/MISO)

100k
4V5 5 LED3 14
PB2(PCINT2/SS/OC1B) PB5(SCK/PCINT5)
17 SCK 3 4
INB
GND RESET 5 6
IC2
3 23 30 TXD
PC0(ADC0/PCINT8) PD0(RXD/PCINT16) ISP
24 31 RXD
PC1(ADC1/PCINT9) PD1(TXD/PCINT17)
STATUS 32 25 RTS
PD2(INT0/PCINT18) PC2(ADC2/PCINT10)
1 26 CTS +2V8
PD3(INT19/OC2B/INT1) PC3(ADC3/PCINT11)
2 27
PD4(PCINT20/XCK/T0) PC4(ADC4/SDA/PCINT12)
LED4 9 28 LED3
PD5(PCINT21OC0B/T1) PC5(ADC5/SCL/PCINT13)
PWRKEY 10 29
PD6(PCINT22/OC0A/AIN0) PC6(RESET/PCINT14)
V+ EMOFF 11
PD7(PCINT23/AIN1) R19
19
R2 ATMEGA328P-AU ADC6

470R
1 5 22 VDD_EXT
EN IC1 ADJ 110k
PB6 PB7
ADC7
LED3
R17
2 MIC29302 4
GND GND XTAL1 XTAL2 GND
IN OUT LED1 3 5 7 8 21 +2V8 +2V8
X1
TAB
1M

GND
3 6 POWER LED4 LED5
R4 R3 R16 R5
C3 C2 C4 C5 C6
8MHz
R20 R21
470R

470k
43k

1k

1000u 100n 100n 100n 100u


10V 16V

1k

1k
LED4 LED5

C18
100n
MCP1700T-2802E/TT

SIM1_CLK

SIM1_RST

SIM1_VDD
+4V4 IC4 +2V8 C9 C10
4 3 3 1
L1 R12 R11
33p 33p
ACM4520-231-2P-T
C20 2 C21 C7
22R

22R
1 2

1u 1u 4 5 6 100n
C17 C11
100n +4V4 ANT1
SIM_RESET

SIM_VDD
SIM_CLK

C16 33p

3
100n
4 SIM1
C19 C12 C13 C14 C15 SMA SIM Card
Holder
SIM_DATA

1000u 100u 100n 10p 33p


MOD1
GND

10V 16V
3 2 1 C8
IRM AC/DC
10W R10
32 33 34 39 33p
22R

RF_ANT
VBAT
VBAT

1 31
VRTC

1 2 AGND SIM1_GND
2 30 SIM1_CLK
MIC2P SIM1_CLK
3 29 SIM1_DATA
MIC2N SIM1_DATA
R6 4 28 SIM1_RST
-T MIC1P SIM1_RST
NTC 5 27 SIM1_VDD
MIC1N SIM1_VDD
F1 MOD2
315mA 6 26
SPK1N RI/PCM_RST
7 25
K2 +2V8 SPK1P DCD/SIM2_RST
8 24 RTS
LOUDSPKN RTS
9 23 CTS
R7 LOUDSPKP CTS
PWRKEY 10
PWRKEY QUECTEL TXD
22 TXD
EMOFF 11 21 RXD V+
EMERG_OFF M95 RXD
4k7

R9
GSM/GPRS
16
SIM2_DATA
Module DBG_TXD
15 LED2
14 IC3 8
47k

17 14
SIM2_CLK DBG_RXD
D1 18 13 NET
R8 SIM2_VDD NETLIGHT
VDD_EXT 19 12 STATUS R13
VDD_EXT STATUS/PCM_SYNC
20 42
DTR/SIM1_PRESENCE PCM_IN 1 3 4 5 6
47k

1 7
1k

1N4007 CNY65 PCM_OUT


41 D2
T1
GND
GND
GND
GND
GND

35 36 37 38 40
NETLIGHT
2
SMF05CT2G 2N7002
160372 - 11

Figure 2. Grâce au schéma fonctionnel, il n’est pas difficile de comprendre le schéma du circuit électronique du détecteur de coupure de courant, dont
le cœur est le microcontrôleur AVR IC2.

www.elektormagazine.fr septembre/octobre 2018 15


courant, ce qui se produit lorsque la ten-
sion de IC1 tombe en dessous de 3,5 V,
LISTE DES COMPOSANTS ce qui est pris en compte par IC5 via sa
broche 2.
Les 4,4 V en sortie de IC5 alimentent
d’une part directement le module GSM
MOD2 et d’autre part en 2,8 V le micro-
contrôleur ainsi que LED3 à LED5 à
travers le régulateur à faible chute de
tension IC4. C’est inférieur à la tension
d’alimentation maximale de IC2, donc
favorable à l’économie d’énergie, néan-
moins suffisant pour la fréquence d’hor-
loge de 8 MHz fixée par X1.
Au cas où vous seriez surpris du choix
d’une version 5 V / 2 A pour MOD1 :
une puce comme MOD2 nécessite
pour émettre sur le réseau mobile des
pointes de courant récurrentes allant
jusqu’à 1,6 A. Cette consommation de
puissance (de courte durée) est néces-
saire, sinon la portée serait insuffisante
en cas de conditions défavorables. C’est
pourquoi on a prévu un tampon supplé-
mentaire sous la forme du très gros
condensateur C19, car, dans de telles
conditions, la tension des piles alca-
lines non tamponnées s’effondrerait et
le module GSM se désactiverait si son
alimentation tombait en dessous de la
limite de 3,3 V.
Enfin, la circuiterie entourant le module
GSM M95 est largement conforme
aux recommandations de Quectel [3].
SIM1, son emplacement de carte SIM,
est protégé contre les parasites HF par
R10 à R12, D2, ainsi que par C8 à C11.
À travers le diviseur de tension R14/R15,
connecté à son entrée ADC0 (broche 23),
le microcontrôleur surveille la tension
des piles de secours. Sur la broche 24,
il acquiert l’état de IC5. Le tableau LED
indique les différents états affichés par
les LED.

Montage
Le labo a dessiné un circuit imprimé com-
pact (fig. 3) d’après le schéma de la
figure 2 ; vous pouvez soit vous procurer
le circuit imprimé ainsi que le contrôleur
programmé dans l’e-choppe, soit télé-
charger tous les fichiers nécessaires
(CAO, micrologiciel) de cet article sur la
page du projet [4].
Comme on peut s’en rendre compte sur
la figure 4, le câblage des composants
n’est pas vraiment difficile. Il n’y a sans
doute pas beaucoup de composants de
Figure 3. Le labo d’Elektor est parti de la figure 2 pour réaliser ce circuit imprimé. type traversant, mais au moins il n’y a
pas de CMS microscopiques ni de puces
aux pattes extrêmement serrées. Mal-

16 septembre/octobre 2018 www.elektormagazine.fr


Figure 4. Prototype terminé. On reconnaît le bloc d’alimentation noir,
l’antenne, le module GSM blanc, la carte SIM et les piles de secours.

gré les CMS au format 0603, le sou- On atteint aussi cette valeur de courant l’arrivée d’une interruption. La version
dage à la main ne devrait donc pas être quand le modem demande l’accès au actuelle du microcode permet ainsi d’éco-
insurmontable. réseau (avec ou sans succès). En cas de nomiser 50% du courant, donc d’arriver
Comme la tension du secteur est pré- fonctionnement continu (mais irréaliste) à 2 mA, alors que le programme est tou-
sente sur le circuit imprimé (à gauche), il du modem, c’est-à-dire une consomma- jours actif.
devra être monté dans un boîtier isolant. tion totale de 304 mA, les piles seraient Lors d’une coupure de courant, le modem
Même le porte-piles des trois cellules AA bonnes à jeter au bout de cinq heures devient un problème. Ses jusqu’à 300 mA
doit être bien immobilisé pour que les environ. On devrait donc les remplacer sont intolérables. C’est pourquoi on
secousses ne le fassent pas se promener après chaque coupure de courant. l’éteint complètement dès l’envoi réussi
à l’intérieur du boîtier ou qu’une pile ne On peut réduire la consommation du du SMS de coupure de courant. Le
s’en détache pas. Enfin la protection en microcontrôleur en éteignant les parties modem se contente alors d’un courant
plastique du fusible, visible à gauche, non utilisées. Sur l’ATmega328P, il existe résiduel de quelques µA, ce qui allonge
n’est pas une mauvaise idée. pour cela le registre PPR (Power Reduc- notablement la durée de vie des piles.
Le détecteur de coupure de courant ter- tion Register), qui permet d’économiser Dernier point : les LED sont désactivées
miné peut maintenant être emporté par- immédiatement 10% = 0,4 mA. De plus, en fonctionnement sur piles, car elles
tout et tout simplement branché sur une il y a le mode repos (IDLE mode) où le consommeraient aussi 2 à 3 mA. Comme
prise (avec le câble secteur adéquat). noyau du contrôleur est arrêté jusqu’à le détecteur est normalement installé à
C’est prêt !

Détails du fonctionnement
Comme on utilise des piles comme ali- @ www.elektor.fr
mentation de secours en cas de cou-
ªCircuit imprimé nu [4], réf. 160372-1
pure de courant, ce mode de fonction-
www.elektor.fr/bare-pcb-160372-1
nement devrait consommer le moins
d’énergie possible. Si le microcontrô- ªContrôleur ATmega328P programmé [4], réf. 160372-41
www.elektor.fr/mains-outage-detector-controller
leur est alimenté en 2,8 V et fonctionne
avec un résonateur externe de 8 MHz, il ªMultimètre numérique Mastech MS8301D
www.elektor.fr/mastech-ms8301d
consomme 4 mA environ. Pour une capa-
cité des piles de 1500 mAh, on arrive ªArticle « Indicateur de charge pour génératrice de secours », Elektor 03/2012
www.elektor.fr/110736
à 375 h, soit 15,625 jours pour le fonc-
tionnement en mode de secours. Le plus ªSondes différentielles à haute tension DP10013
gros consommateur est le modem, qui www.elektor.fr/diff-probes-dp10013
exige 300 mA pendant l’envoi d’un SMS.

www.elektormagazine.fr septembre/octobre 2018 17


demeure, signaler quoi que ce soit avec nellement des opérations de commuta- il n’est pas nécessaire de distinguer le
des LED au cours d’une coupure de cou- tion pour éliminer des perturbations, ce réseau 50 du 60 Hz.
rant ne présente guère d’intérêt. qui se traduit par des microcoupures Dans le code C du logiciel, la responsabi-
et donc des périodes (= passages par lité de la surveillance du secteur incombe
Logiciel zéro) manquantes. Pour ne pas déclen- aux composants MAINS_MON (le moni-
L’organigramme de la figure 5 montre la cher une (fausse) alarme, on allonge un teur effectif) et une base de temps
structure du microcode écrit en C. L’ini- peu le délai et on surveille qu’on a au dans le module TIMER, qui fonctionne
tialisation est suivie de la lecture de la moins un passage par zéro par inter- comme un chien de garde logiciel. On
configuration et de l’activation des inter- valle de 250 ms. Avec cette méthode, utilise pour cela l’interruption externe 1
ruptions. Ensuite, on attend que du microcontrôleur, qui réagit
la tension se stabilise, en mode sur les fronts descendants. Au
sommeil par précaution, pour ne cours d’une alternance posi-
pas tirer sur les piles tant que tive, l’optocoupleur amène
le système n’est pas alimenté l’entrée d’interruption 1 au
par le secteur. Puis on passe à initialising niveau bas et produit de ce
la configuration du modem GSM fait un front. Dans la routine
et à l’acquisition de la tension d’interruption, on évalue l’in-
des piles et de l’état du secteur. load configuration tervalle entre deux interrup-
Ces informations permettent au tions et on acquitte le chien
activate interrupts
contrôleur de piloter les LED indi- de garde logiciel du module
catrices. Ensuite on passe aux TIMER. Tant que l’acquitte-
choses sérieuses : l’envoi d’un ment se produit au cours d’un
SMS sur coupure de courant, sur sleep until voltage is good intervalle de 250 ms, le chien
retour du courant, sur piles faibles de garde ne se déclenche pas.
ou neuves. Tant qu’il n’y a pas de Par contre, si le secteur dis-
modem configuration
changement, on reste en som- paraît, l’acquittement ne se
meil. S’il n’y a pas de secteur ou produit pas, ce qui déclenche
read voltage of battery and
si les piles sont épuisées, il y a l’alarme au bout de la tem-
une réinitialisation. power supply porisation. Comme TIMER
Le logiciel est divisé en plusieurs ainsi que le chien de garde
set LED status
modules spécialisés par fonctions. ne réagissent que sur inter-
Celles-ci se rangent dans quatre ruptions, la détection de dis-
catégories (fig. 6) : surveillance parition du secteur est tota-
du système, enregistrement des send text message if: lement indépendante de la
mains outage, mains restored, weak
battery or new battery
paramètres, surveillance du sec- boucle principale.
teur et communication.
Pour la détection de coupure de Configuration
courant, on pourrait mesurer sleep if: Pour mettre le système en
status of mains or battery
changed and text message sent
l’écart entre deux passages par service, il faut une carte SIM
zéro, ou bien définir un inter- valide avec le PIN désactivé. Si
valle de temps pendant lequel la carte SIM est protégée par
on doit observer deux demi-pé- reset if: PIN, le logiciel ne peut pas y
mains outage and empty
battery
riodes consécutives. Même si ces accéder. On peut facilement
deux approches semblent équiva- désactiver le PIN en installant
lentes à la mesure de la fréquence la carte dans un ordiphone et
du secteur, il y a quand même en désactivant le PIN dans les
des choses auxquelles il faut paramètres de sécurité.
prendre garde. Sur les réseaux Le module GSM essaie d’ac-
électriques, il se produit occasion- Figure 5. L’organigramme du microcode écrit en C. céder au réseau mobile dès

System Control Parameter Monitoring Mains Modem


• send text message • read from EEPROM • detect zero crossings • configuration
• control LEDs • restore from EEPROM • count periods • communication
• monitor battery • write to EEPROM • check missing periods

Figure 6. Le microcode se compose de quatre modules fonctionnels séparés.

18 septembre/octobre 2018 www.elektormagazine.fr


que la tension d’alimentation est correcte
ou la tension des piles est suffisante. Il
est préférable qu’à la première mise en
service, le circuit imprimé garni soit ali-
menté par le secteur. S’il n’y a pas de INT1

MAINS_MON TIMER
tension du secteur et que l’alimentation
par piles est active, les LED ne s’allument
INTERRUPT
pas pour raison d’économie d’énergie, ce
TIMER_ResetWatchdogTimer
qui complique la configuration. check pulse and
reset WatchdogCounter
Si l’accès au réseau mobile a réussi, reset TIMER
LED5 s’allume. On peut alors appeler le
INTERRUPT
numéro de téléphone de la carte SIM et
MAINS_MON_Timout
if WatchdogTimer expires then
indicate mains timeout
vérifier qu’elle n’est pas bloquée par le
réseau. Le circuit n’envoie pas encore follow function pointer

d’alarme car l’EEPROM ne contient encore


aucun numéro d’appel. On évite ainsi que MAINS_MON_Init TIMER_InitWatchdogTimer
configure timer take function pointer from
le système envoie un SMS intempestif
set internal variables
MAINS_MON_Timout
à un numéro inconnu. Cet état devrait configure interrupt
être signalé par un clignotement à 1 Hz
de la LED verte.
Le numéro d’appel est programmé
par SMS. Pour cela, il faut utiliser un
Figure 7. Principe du chien de garde logiciel.
ordiphone (de numéro différent) pour
envoyer un SMS au numéro de la carte
SIM avec le texte suivant : « Config
Remote XXX », où XXX est le numéro Liens
d’appel, où seuls les caractères + et 0
[1] Meanwell IRM-10-5 : https://bit.ly/2KsAsYr
à 9 sont permis. Si le SMS est bien reçu
[2] LTC4413 : https://bit.ly/2Kfsiq6
et correctement traité, le système répond
avec un SMS contenant « Saved new [3] Quectel M95 : www.quectel.com/product/m95.htm
number in EEPROM ». Le système est [4] Page de l‘article : www.elektormagazine.fr/180344
alors prêt à l’emploi. Après l’envoi du
SMS de réponse, la LED verte passe du
clignotement au feu fixe. mesure de précaution (présence du système peut alors être à nouveau confi-
On peut maintenant interroger l’état secteur sur le circuit imprimé !), guré comme décrit précédemment.
du système par SMS. Pour cela, il faut cette opération ne devrait être effec- (180344/160372 –
envoyer le message « Request Status ». tuée qu’avec le secteur débranché. Le version française : Helmut Müller)
Le système répond avec un SMS conte-
nant le texte suivant : « Mains frequency
is <STATUS> with <XX>Hz. Battery has
<VBat>mV and is <BAT_STATUS> ».
Pour réinitialiser la configuration, il
faut mettre en place le cavalier JP1 et
mettre le système hors puis
sous tension. Cette courte
absence d’alimenta-
tion permet au sys-
tème de redémar-
rer en lisant l’état
de JP1. Après ce
redémarrage,
la LED verte
doit clignoter à
nouveau. Il faut
alors retirer le
cavalier pour
que le sys-
tème ne
revienne
pas à la configuration
d’usine au prochain démarrage. Par

www.elektormagazine.fr septembre/octobre 2018 19


Transformez votre
idée en produit !
re DPS310 d’Infineon
XMC 2Go + S2GO Pressu
e d’ARM Cortex-M0)
• µC XMC1100 MCU (à bas
Xplained Pro + Lite
Kit d’évaluation SAML10 • débogueur intégré J-Link
ained Pro de Microchip ilisa teu r
kit d’extension QT7 Xpl • deux LED pou r l’ut
ches,
U/ATSAML11E16A-AU • embase mâle à 2×8 bro
• µC ATSAML10E16A-A de liai son
able mécanique idéale pour carte
• un bouton programm
uch • logiciel XMC pour EDI
• un bouton QTo
teur Arduino
• une LED pour l’utilisa
rtz à 32, 768 kHz • ultra-haute résolution
• qua nt à ±5 cm)
08A de ±0,005 hPa (équivale
• coprocesseur ATECC5 fon ctio nne ment pour la
tica tion ™ • large plage de
CryptoAuthen 0 hPa
teu r mik roB US pression : 300 hPa à 120
• connec som mation (3 µA)
• mo de bas se con
• débogueur intégré ature : ±0,5 °C
courant intégré • précision de la tempér
• circuit de mesure du Ard uin o gratuites et
if rés ista nt à l’eau + 8 LED • bibliothèques
• bouton capacit rge r
télé cha
cisions sur les kits : faciles à
Data Sheets et autres pré 8
/elektor-board-games-201 Data Sheets et
www.elektormagazine.fr autres précisions sur les
kits :
8
/elektor-board-games-201
www.elektormagazine.fr

de Renesas
Kit de promotion S5D9 ectronics
boîtier LQFP-176 4 IoT Node de STMicroel
• µC de la série S5D9 en Kit de découverte STM32L
rs d’ex tension
• quatre connecteu • µC de la série STM32L
4 (à
TFT QVG A ) en
• écran tactile bas e d’A RM Cor tex-M4
teur
• trois LED pour l’utilisa boîtier LQFP100
teu r com pat ible avec 1
• con nec • module Bluetooth V4.
les shields Arduin o Uno
(SPBTLE-R F)
• deux commutateurs • module HF (868 MHz
ou
mécaniques e, à
915 MHz) programmabl
ifs +
• deux boutons capacit faible consom ma tion
glissière Wi-Fi
• module conforme au
• sortie audio 11 b/g
02. antenne NFC imprimée
• mémoire QSPI (8 Mo
) à base de M24SR avec
• balise Dynamic NFC é rela tive et température
rfa ces SPI , IIC , 221 pour humidit
• inte • capteur capacitif HTS
CAN et SCI s LIS3MDL
• magnétomètre à 3 axe
cisions sur les kits : gyroscope 3D LSM6DSL
Data Sheets et autres pré • accéléromètre 3D et
/elektor-board-games-201
8 num érique absolue LPS22HB
www.elektormagazine.fr • baromètre à sortie ion de mouvement
propagation et de détect
• capteur de temps de
VL53L0X
cisions sur les kits :
Data Sheets et autres pré 8
/elektor-board-games-201
www.elektormagazine.fr

20 septembre/octobre 2018 www.elektormagazine.fr


Dédié aux ingénieurs et startups, Avnet Silica et EBV Elektronik lancent le concours
« Board Games » sur le thème « concevoir pour un monde meilleur », en partenariat avec
l’electronica Fast Forward 2018, the startup platform powered by Elektor.
Votre objectif est de transformer votre idée en produit ? Participez à ce concours, mettez en oeuvre
votre idée avec l’un des kits de développement. Découvrez les cartes proposées ainsi que les termes et
conditions du concours à l’adresse www.elektormagazine.fr/elektor-board-games-2018.
Rejoignez sans tarder les « Board games » !

IoT de NXP
Kit de prototypage rapide
base d’ARM Cortex-M4) SoC + carte mu lticapteur
• µC Kinetis K64 MCU (à Carte d’évaluation radio
Thread, Zigbee)
• µC sans fil KW41Z (BLE, d’ON Semiconductor
um Type 2
• balise NT3H2211 NFC For r de
• compatible avec facteu
• circuit A1006 Secure
forme Arduino
Authentication,
• support de PMOD
anti-contrefaçon iliter
• J-Link à bord pour fac
• multiple capteurs
le débogage
• EDI Rapid IoT Studio
de • accès à tous les
• création automatique
e périphériques RSL10
projet et de cod grée
• antenne imprimée inté
pour l’EDI MCUXpresso teu r de gaz,
droid • BM E68 0 – cap
• applis mobiles iOS/An
rmes IdO dans le nuage humidité, pression et
et connexion aux platefo
t des applications IdO température
• extensible à la plupar
rds • BNO055 - capteur
avec plus de 400 Click boa
pat ible ave c IoT Mo dular Gateway de NXP d‘orientation absolue
• com
cisions sur les kits : intelligent à 9 axes Objets
Data Sheets et autres pré ent pour l’Internet des
8 • TO136 Security Elem
/elektor-board-games-201 ière am bia nte
www.elektormagazine.fr • NOA1306CUTAG – cap
teur de lum

• Micro à électret
cisions sur les kits :
Data Sheets et autres pré 8
/elektor-board-games-201
www.elektormagazine.fr

Avnet EMEA : Avnet Silica et EBV Elektronik


Avnet EMEA est le premier distributeur de composants élec-
troniques en Europe, au Moyen-Orient et en Afrique. Avec
ses unités opérationnelles indépendantes - alias speedboats
- Avnet EMEA accompagne ses clients tout au long du cycle
de vie de leurs produits, de la conception à la fabrication en
série et à la gestion de fin de vie.
Avnet Silica et EBV Elektronik, les deux principaux speedboats
dans le domaine des semi-conducteurs au sein d’Avnet EMEA,
représentent les principaux fabricants de semi-conducteurs ;
leurs ingénieurs aident les clients à transformer leurs idées
en produits qui font la différence sur le marché.
www.avnet.eu

www.elektormagazine.fr septembre/octobre 2018 21


HOMELAB PROJECT

amplificateur BF
pour sinus modulé en fréquence
un plaidoyer pour l’analogique
Hans-Norbert Gerbig

La modulation de fréquence d’une onde sinusoïdale par un signal BF analogique est une alternative à la
modulation de largeur d’impulsion. C’est une solution un peu plus complexe, mais de meilleure qualité.

Le numérique est sur toutes les lèvres. De nos jours, toute tativement bien meilleure que la MLI. Pour preuve, la radio FM
grandeur physique qui varie en continu sera tôt ou tard con- et la modulation de fréquence sont des méthodes familières
vertie en son équivalent numérique. La modulation de durée et éprouvées. Ce type de modulation permet même d’éliminer
ou de largeur d’impulsion (MLI) est une méthode simple et facilement les pics d’amplitude de signaux parasites à l’aide
très répandue pour numériser un signal analogique. Un signal d’un circuit limiteur, sans affecter la modulation de fréquence.
à basse fréquence module le rapport cyclique d'un signal rec-
tangulaire de fréquence constante, dont l’amplitude constante Oscillateur capacitif à trois points
est comprise entre 10% et 90% de la tension d’alimentation. À mon humble avis, la modulation de fréquence de la bande
Mais la nouveauté n’est pas toujours synonyme de progrès ! FM est l'une des inventions majeures en électronique ! Pour-
La modulation de fréquence d’une onde sinusoïdale est quali- suivons donc sur la voie esquissée à la figure 1.
Il est très facile de produire des ondes sinusoïdales avec un
oscillateur capacitif à trois points (OCT). Le circuit auto-oscillant
de la figure 2 construit autour du transistor est un oscillateur
demodulation sinusoïdal piloté (synchronisé). La résistance de 10 kΩ entre
base et collecteur provoque une forte atténuation du circuit
oscillant, ce qui élargit sa plage d’accrochage.
Les caractéristiques particulières du circuit sont :
Crystal IC amplifier L = 47uH
sine wave variable gain C = 1uF
LF oscillator
• L’amplitude de sortie est très stable. Cela donne une atté-
nuation en modulation d’amplitude de 60 dB, ce qui veut
4/8
dire que toutes les perturbations superposées au signal
sont complètement éliminées.
• Si la tension d’entrée est trop faible, elle ne sera plus
capable de synchroniser l’oscillateur. Donc il n’y aura
aucune modulation, et la sortie restera à zéro.
• L’oscillateur est toujours piloté par une seule fréquence, à
Figure 1. Ampli auto-oscillant pour signal FM. savoir celle du signal (émetteur) le plus fort.

22 septembre/octobre 2018 www.elektormagazine.fr


GND +4V5...+6V
68R
25k

680R
5k 47u 100u

LF

3 6

10k
5
18MHz LM386L
2 8
47uH
470u
4
47p 1

33R
1u
10k
10k 10u 4/8

680R
lin

180275-12

7
3 6
5
TBA820
2 M
4

500R 22u

Figure 2. Ampli BF pour modulation de fréquence, à LM386 ou TBA820M.

• Plus le circuit de l’oscillateur est atténué, plus grande est férents circuits pour carte à trous (avec Lochmaster) ou pour
sa plage d’accrochage. circuit imprimé (avec Sprint-Layout), inclus dans le téléchar-
gement [1] de l’article.
Il n’est pas possible de désynchroniser l’oscillateur si à fré- Le signal sinusoïdal modulé en fréquence doit être démodulé
quences égales d’autres signaux d’entrée ont une amplitude après amplification par le LM386 ou le TBA820M. Le filtre pas-
plus faible. Ils n’ont donc aucun effet sur la démodulation et se-bas réalisé avec L = 47 µH et C = 1 µF s’en charge. Cet
sont complètement supprimés, même si leur gamme de récep- intégrateur établit pour ainsi dire la moyenne de la séquence
tion n’est inférieure que de 30% à celle souhaitée pour la fré- de fréquences. Le condensateur de sortie C = 470 µF coupe
quence d’entrée. L’effet de toutes ces particularités est une la composante continue du signal amplifié, finalement restitué
sélectivité inhabituelle ainsi que la sonorité typique et unique par le haut-parleur. Le filtre passe-bas atténue également les
de la bande FM. résidus à haute fréquence présents dans le signal de sortie.
(180275/160584 – version française : Xavier Pfaff)
Ampli BF pour sinusoïde modulée en fréquence
Le cœur de notre circuit expérimental est donc un « oscillateur
capacitif à trois points » (OCT) qui oscille librement grâce à la
stabilité du quartz de 18 MHz (des fréquences plus élevées sont
envisageables), à amplitude constante. La fréquence intermé- Weblinks
diaire FM à 10,7 MHz ne convient pas en raison d’apparition
[2] Page de l‘article : www.elektormagazine.fr/180275
d’interférences lors de la réception FM. Le signal audio d’entrée
attaque le collecteur du transistor et ainsi change, ou module,
la fréquence. Il s’agit d’un type de modulation particulièrement
exempt de perturbations. Toute perturbation en amplitude est
pratiquement éliminée, car seule la fréquence est modulée et
non l’amplitude. Particularité du circuit : l'entrée BF et le col-
lecteur sont reliés galvaniquement (directement, sans con- @www.elektor.fr
densateur), de même que l'émetteur avec l'entrée du circuit ªIkaScope WS200 – sonde d’oscilloscope sans fil
intégré, via la commande de volume. www.elektor.fr/ikascope-ws200
Un circuit intégré standard d’amplification audio tel que le
ªGénérateur de fonctions Siglent SDG805
LM386, ou le TBA820M plus puissant, sert à l’amplification www.elektor.fr/sdg805-waveform-generator
en puissance du signal. Ces circuits intégrés nécessitent des
câblages légèrement différents, c’est pourquoi j’ai conçu dif-

www.elektormagazine.fr septembre/octobre 2018 23


comparatif de trois
analyseurs de spectre
à des prix abordables

Alfred Rosenkränzer

Dans le numéro de novembre d’Elektor 2017, j’avais mis en lumière la fonction FFT des oscilloscopes numériques
modernes (cf. article sur les mini-oscilloscopes). Cette fonction supplémentaire, le plus souvent gratuite, est
particulièrement utile pour l’analyse des signaux, avec toutefois quelques limites. La plupart des électroniciens
habitués à l’utilisation d’« authentiques » analyseurs de spectre ne tarderont pas à se heurter à ces barrières.
Jadis, les analyseurs dédiés, même les appareils de seconde main, étaient inabordables non seulement pour les
labos d’amateurs, mais aussi pour les petites entreprises. Ces temps sont heureusement révolus. Aujourd’hui, on
trouve sur le marché des appareils neufs très corrects à des prix abordables. D’où l’intérêt d’un comparatif.

24 septembre/octobre 2018 www.elektormagazine.fr


beaucoup de puissance pour une somme relativement modique

A priori, il existe (comme pour les oscilloscopes modernes) analyseur de spectre, il s’agit de l’amplitude du signal en fonc-
d’une part les appareils autonomes avec un écran et de vrais tion de la fréquence. Le paramètre important est alors la limite
boutons, et d’autre part les appareils USB qui ne peuvent être supérieure de fréquence à laquelle des parties du signal restent
utilisés qu’avec un PC ou un portable. Le présent article ne encore visibles. Par exemple, si l’on veut afficher le premier et
s’intéresse qu’aux appareils autonomes. Mais pour commen- le second harmonique d’un signal, la fréquence du signal ne
cer, voyons ce qui fait la substance d’un analyseur de spectre doit pas dépasser le tiers de la bande passante maximale de
et quelles sont les caractéristiques qui décident de sa qualité. l’analyseur. La bande passante est la caractéristique qui a la
plus grande influence sur le prix, tout comme pour les oscillos-
Domaine de fréquence copes. Le plus souvent, il est impossible d’augmenter la bande
Un oscilloscope affiche le signal à mesurer sous la forme d’une passante après coup ; il est donc indispensable, avant l’achat,
courbe de la tension en fonction du temps, alors que pour un d’avoir une idée précise des signaux qu’on veut mesurer.

www.elektormagazine.fr septembre/octobre 2018 25


Figure 1. Spectre d’un signal à 10,8 MHz sans moyenne. Figure 2. Le même signal avec un facteur de moyenne de 100.

La limite inférieure de fréquence demande aussi de l’attention. (soit ×1, ×3, ×10, etc.) alors que le haut de gamme offre des
Les appareils récents commencent habituellement à travailler réglages nettement plus fins. Mais attention : un filtre passe-
autour de 10 kHz, mais il y en a dont le spectre ne commence bande plus étroit demande plus de temps pour se stabiliser et
qu’à 10 MHz et qui ignorent tous les signaux de fréquence augmente donc le temps de balayage (Sweep Time). En règle
inférieure. générale, ce temps est réglé automatiquement pour éviter
l’acquisition de mesures inexactes. Avec une bande passante
Le domaine de fréquence à analyser est habituellement spé- plus étroite, le niveau de bruit affiché baisse, si bien qu’il est
cifié par la sélection soit des fréquences inférieure et supé- possible d’extraire des signaux de faible niveau du bruit. En
rieure, soit de la fréquence centrale (center) et de la largeur diminuant le span, on peut, par exemple, à temps de balayage
du domaine (span). constant, utiliser un filtre passe-bande plus étroit pour augmen-
ter le rapport signal/bruit. Règle empirique : pour une mesure
Résolution fiable, le rapport signal/bruit doit être ≥ 10 dB. De plus, avec
La largeur de bande de résolution (Resolution BandWidth, une largeur de bande de résolution (RBW) trop large, on n’ob-
RBW) indique la largeur de bande du filtre passe-bande uti- servera qu’un seul pic pour des signaux très voisins, ce qu’il
lisé. En mode automatique, elle est le plus souvent réglée faut dans la plupart des cas soigneusement éviter. La figure 1
à 1 ‰ environ du span. Mais on peut la régler manuellement montre le spectre typique d’un signal à 10,8 MHz.
à tout moment. La largeur du filtre passe-bande est habituel-
lement réglable de quelques Hz à un maximum de 1 MHz. Il Moyenne
faut prendre garde à la finesse de ce réglage : les appareils bas La fonction Average est une autre possibilité de réduire le bruit
de gamme se contentent souvent de deux paliers par décade affiché. Elle consiste à effectuer et à afficher la simple moyenne
de plusieurs mesures. Le bruit, statistiquement réparti et non
corrélé, est alors réduit d’un facteur 1/√n (n = nombre de
mesures). Avec le facteur de moyenne n, on fait un compromis
entre le temps de mesure et l’amplitude du bruit. La figure 2
montre l’effet impressionnant sur le bruit qu’on obtient avec
un facteur de moyenne de 100.

Lissage
À l’aide de la fonction de lissage VBW (Video BandWidth), on
peut niveler les variations rapides de la courbe : le résultat
est un spectre lissé avec très peu de pointes et d’arêtes. En
fait, cela réduit la largeur de bande du spectre à afficher. La
figure 3 montre qu’on arrive ainsi à un modèle de représen-
tation spectrale. Bien entendu, cela a un coût qui se traduit
par un surcroît de travail pour le processeur de l’analyseur, et
donc une réduction de la vitesse d’affichage et, finalement, de
la fréquence de balayage.

Autres fonctions
Figure 3. Par rapport au signal de la figure 2, la fonction de lissage (VBW) On dispose le plus souvent de plusieurs types de détecteurs,
a été réduite de 560 kHz à 4,7 kHz. par exemple de pic (peak, positif et négatif), de RMS, d’échan-

26 septembre/octobre 2018 www.elektormagazine.fr


Figure 4. Signal de 1 MHz avec couplage alternatif. Figure 5. Signal de 1 MHz avec couplage continu.

tillonnage (sample), normal, etc. Ces réglages ont un effet sur Marquage
l’affichage ou sur la pondération du spectre, et se rapportent Les fonctions de marquage permettent de mesurer aussi bien
au signal effectivement représenté par un point sur l’écran. le niveau absolu des signaux que la différence entre deux
signaux. Les fonctions telles que Peak Search permettent de
Entrée et niveau positionner les marqueurs rapidement et avec précision. On
Le niveau maximal se réfère à l’amplitude qui peut être présente pourra donc mesurer précisément la fréquence en ces points.
sans danger sur l’entrée de l’appareil. Si le signal à mesurer Les fonctions de marquage telles que la densité de bruit per-
dépasse le maximum, il est nécessaire d’intercaler un atténua- mettent l’acquisition de la densité de bruit en dBm/Hz ou
teur externe de puissance approprié. Ces atténuateurs sont en µV/√Hz, grandeurs caractéristiques des amplificateurs. Le
disponibles avec des connecteurs N, BNC et SMA. L’atténua- bruit propre de l’analyseur devrait alors être inférieur d’au
teur interne adapte l’entrée de l’appareil au niveau du signal. moins 10 dB à celui du signal à mesurer.
Jadis, le réglage se faisait habituellement par pas de 10 dB, La fonction puissance du bruit dans un domaine de fréquence
aujourd’hui on en est plutôt à 2 dB. Si le signal d’entrée est réglable est utile pour évaluer des valeurs comme le rapport
trop élevé (= atténuation insuffisante), il sature l’étage d’entrée signal/bruit (signal to noise ratio, SNR). Pour les grands signaux,
qui se met à produire des harmoniques qui n’existent pas dans on procède en deux étapes : on commence par mesurer le
le signal, faussant ainsi les mesures. On doit alors surveiller niveau du signal, puis, en l’absence de signal (et, au besoin,
les valeurs de pointe du signal d’entrée qui, pour des valeurs avec une atténuation réduite), celui du bruit.
élevées du facteur de crête [1], peuvent largement dépasser
sa valeur effective. Si l’atténuation est trop importante, les Démodulation
petits signaux se retrouvent noyés dans le bruit, car les étages Lorsque de telles fonctions sont présentes, il est possible de
d’amplification de l’analyseur ont eux-mêmes un rapport signal/ démoduler directement des signaux modulés en amplitude ou
bruit fini. Un réglage fin pour un compromis idéal entre la dis- en fréquence. On peut même écouter directement la radio sur
torsion et le bruit n’est donc pas un luxe inutile. une sortie audio. Il serait toutefois plus intéressant de disposer
Une linéarité en fréquence aussi bonne que possible de l’élec- d’une démodulation de signaux de transmission numériques
tronique de l’analyseur a aussi son importance, car le spectre
affiché ne devrait pas montrer de fluctuations en fréquence
qui ne sont pas présentes dans le signal d’origine.
Pour les petits signaux, ceux d’une antenne par exemple, il
est utile de mettre en fonction le préamplificateur (dont on
espère la présence).

L’entrée est le plus souvent câblée en couplage alternatif. Il


faut néanmoins éviter d’appliquer une composante continue
au-delà de la limite permise. Sinon, les effets du chargement
des condensateurs sur la mesure de fréquences basses sont
assez disgracieux (fig. 4). Si l’entrée est commutable sur
couplage continu, on peut l’éviter (fig. 5). Toutefois, il faudra
alors s’assurer de la bonne tenue en tension, pour ne pas risquer
de détruire l’étage d’entrée au passage. Un bloc DC externe
avec un condensateur plus gros constitue un bon compromis,
mais augmente la facture. Figure 6. Courbe de fréquence d’un filtre passe-bas avec 10 dB par division.

www.elektormagazine.fr septembre/octobre 2018 27


Tableau 1. Caractéristiques techniques
Fabricant Siglent Rigol Peaktech Keysight (référence)
Modèle SAA3021x DSA832 4130 N9020A 3,6 GHz
Fréquence max./ GHz 2,1 3,2 1,5 3,6
10 Hz (DC),
Fréquence min./ kHz 9 9 9
10 MHz (AC)
Résolution min./ Hz 1 1 1 2

RBW max./ MHz 1 1 1 3


RBW min. / Hz 10 10 10 1
Pas / dB 1/3/10 1/3/10 1/3/10 10%
VBW max. / MHz 3 3 3 3
VBW min. / Hz 10 10 10 1
Pas / dB 1/3/10 1/3/10 1/3/10 10%

Atténuation max. / dB 51 30 50 70
Pas / dB 1 1 5 (1) 10/2
Tension d’entrée max. / V ±50 ±50 ±50 0,2 (DC), 100 (AC)
Niveau HF max. / dBm 33 30 30 30
Préamplificateur / dB 20 17 20 20

Bruit de phase / dBc/Hz


@offset de 10 kHz -95 -98 -80 -113
@offset de 100 kHz -96 -100 - -116
@offset de 1 MHz -115 - - -135

Harmoniques du signal de test / dBc


Harmonique 1 -74 -86 (-30 dB) -87 (-25 dB) -89
Harmonique 2 -92 -102 (-24 dB) -96 (-25 dB) -105

Densité de bruit @100 MHz / dBm/Hz


Sans préampli (feuille de caractéristiques) -137/-141 -127 -120/-125 -151/-154

avec des méthodes modernes, comme QAM16 [2] etc. Mais ces mètres déterminants pour une vue claire et sans fatigue sont
fonctions ne sont disponibles que sur le matériel haut de gamme la taille, la résolution, la pureté des couleurs, l’angle de vision,
et souvent seulement moyennant un supplément de prix. etc. Certains analyseurs de spectre disposent aussi d’une sor-
tie vidéo (= connexion d’un écran externe) permettant l’affi-
Générateur de poursuite chage en direct des résultats de mesure par projection ou sur
Pour un supplément de prix, certains appareils offrent le luxe un grand écran TV, etc.
d’une sortie pour l’affichage de la courbe d’amplitude d’un filtre
ou d’un amplificateur (fig. 6). Si l’on ne dispose pas d’un ana- Interface utilisateur
lyseur de réseau, cette fonction est très utile et justifie le plus Les analyseurs modernes sont utilisés au moyen d’une combi-
souvent le supplément de prix. En fait, on ne peut mesurer naison de touches, de boutons rotatifs et de touches à fonctions
que la courbe d’amplitude, mais non le temps de propagation multiples programmées (softkeys). Les réglages fondamentaux
de groupe. Le générateur de poursuite (tracking) est inté- devraient pouvoir être accessibles directement, alors que les
gré d’origine dans l’analyseur Siglent, mais ne s’active qu’au fonctions rarement utilisées peuvent se dissimuler dans des
moyen d’un code payant. D’autres appareils permettent une sous-menus des softkeys.
mise à niveau matérielle ultérieure. Sinon, il faut prendre une
décision avant l’achat. On met souvent longtemps à évaluer la qualité de la concep-
tion d’une interface utilisateur. L’utilisateur final n’a guère la
Affichage possibilité d’effectuer des comparaisons directes, faute d’accès
Les résultats de mesure, les réglages et un menu logiciel sont simultané aux différents matériels et par manque de temps
affichés sur un écran LCD. Comme pour tout écran, les para- pour faire des tests.

28 septembre/octobre 2018 www.elektormagazine.fr


Fabricant Siglent Rigol Peaktech Keysight (Referenz)
Sans préampli (mesure) -145 -148 -128 -153
Avec préampli (feuille de caractéristiques) -156/-161 -148 -135/-140 -163/-166
Avec préampli (mesure) -164 -168 -147 -165

Fréquence de référence
Fréquence / MHz 9,9998883 - 9,9998878 -
Dents de scie/
Forme de courbe Sinus Sinus Sinus
créneaux
Niveau @50 Ω / mVcc/mVeff 800/285 1.290/455 496/185 -

Générateur de poursuite
Fréquence max / GHz 2,1 3,2 2,2 -
Fréquence min. / kHz 100 100 9 -
Niveau max. / dBm 0 0 0 -
Niveau min. / dBm -20 -20 -20 -
Pas / dB 1 1 1 -

Écran
Taille / " 10,1 8,0 7,0 8,4
Résolution 1024 x 600 800 x 480 800 x 480 1024 x 768
Largeur utile pour affichage horizontal 751 601 601

Interfaces
USB 2.0 A+B A+B A+B A
Ethernet / Mb/s 100 100 100 -
Autres GPIB (opt.) RS232 GPIB (opt.)

Dimensions (L×H×P) / mm 393 x 207 x 116 361 x 178 x 128 363 x 154 x 327 368 x 177 x 426
Poids / kg 4,6 5,15 6 18
Consommation / W 30 35/50 35 465

Connexions tout des interfaces répandues et bon marché comme l’USB


L’entrée du signal et la sortie du générateur de poursuite sont ou l’Ethernet ou encore, mais devenue très rare, la RS232.
habituellement équipées de prises N. Les câbles correspondants
sont malheureusement assez peu courants, de sorte qu’il faut Critères de qualité
prévoir des adaptateurs BNC/SMA au moment de l’achat. On La mère de toutes les questions est : qu’est-ce que la qualité
protège ainsi les prises de l’appareil, car seuls les adaptateurs d’un analyseur de spectre (pour moi) et à quoi faut-il faire
s’usent. Mais même là, il est recommandé de veiller à la qua- attention ? Les critères suivants ont donc une dimension sub-
lité, car c’est bien connu, une chaîne ne vaut pas mieux que jective, car ils dépendent d’exigences personnelles. Comme
son maillon le plus faible. j’ignore vos conditions concrètes d’utilisation, il vous faudra
D’autres connexions sous forme de prises BNC se trouvent à procéder vous-même à la pondération de vos propres critères.
l’arrière de l’appareil. On a en particulier l’entrée et la sortie de
la référence de fréquence, avec lesquelles on peut synchroniser Pour moi, la priorité numéro un est le comportement des har-
plusieurs appareils au moyen d’un signal de 10 MHz. L’appareil moniques. J’entends par là quels harmoniques (avec leurs
avec la meilleure stabilité ou la référence de la meilleure qua- niveaux) affiche l’analyseur (à tort) quand on applique en entrée
lité se voit attribuer le rôle de « master ». On trouve souvent un signal sinusoïdal pur. Pour l’évaluer, tous les appareils ont
une prise USB en face avant qui permet de stocker sur une été testés avec un signal sinusoïdal de fréquence 10,8 MHz et
clé USB les résultats de mesure ou les paramètres de confi- de niveau 0 dBm (figure 7). Comme la sinusoïde délivrée par
guration de l’appareil. un générateur est loin d’avoir la pureté nécessaire, le signal de
Pour la télécommande des appareils, on disposait jadis du bus test a d’abord été « nettoyé » par passage à travers un filtre
professionnel (et coûteux) GPIB. Aujourd’hui, on utilise sur- passe-bande de haute qualité.

www.elektormagazine.fr septembre/octobre 2018 29


Figure 7. Signal de test : sinus à 10,8 MHz et 0 dBm, Figure 8. Niveau du 1er harmonique mesuré avec une atténuation de
filtré (1er harmonique : 135 dBc ; 2e : 125 dBc). 20 dB : 83 dBc.

Après un contrôle de l’amplitude de l’onde fondamentale, la fré- de 5 dB au moyen du bouton et des touches fléchées. Toute-
quence centrale est réglée au double de celle de cette onde et fois, le clavier permet des pas de 1 dB. Mais le marqueur n’est
le span à 100 kHz. Au moyen de Peak Search, le marqueur est hélas pas accessible par le clavier.
positionné sur le premier harmonique (fig. 8) et l’atténuation Le préamplificateur n’est utilisable qu’à partir de 100 MHz, une
est augmentée jusqu’à ce que le niveau du premier harmonique restriction notable.
ne varie plus (fig. 9). Pour augmenter l’écart avec le bruit, On peut éviter les fluctuations du niveau affiché en exécutant
on peut alors réduire le span. On traite de la même manière un calibrage (Cal) après la mise en température, ce qui est
le deuxième harmonique (= triple de la fréquence du signal). d’ailleurs recommandé par le manuel.
Le second critère est pour moi le bruit propre de l’appareil. Malheureusement il n’est pas possible de copier une saisie
Pour l’évaluer, on a mesuré la densité de bruit à 100 MHz avec d’écran sur une clé USB, ce qui m’a été confirmé par le service
et sans le préamplificateur, valeurs reportées dans le tableau technique sur ma demande.
« Caractéristiques techniques ». Les harmoniques affichés pour le signal de test (sinus
à 10,8 MHz et 0 dB) sont très faibles, la densité de bruit
Peaktech 4130 à 100 MHz est malheureusement la plus mauvaise des trois
À la mise sous tension, l’analyseur Peaktech (fig. 10) se mani- appareils testés.
feste par le bruit notable de son ventilateur. Quoique les menus Le signal sur la sortie de référence de 10 MHz a une forme
soient arrangés d’une manière pour moi inhabituelle, l’utilisa- curieuse. Elle n’est pas sinusoïdale comme pour les deux autres
tion fut simple et sans problème. appareils.
On remarque que l’atténuateur n’est réglable que par pas
Siglent SSA3021X
Le ventilateur se fait aussi remarquer sur cet analyseur. La
face avant est en grande partie occupée par le grand écran
de 10,1", mais il reste assez de place pour des touches de taille
suffisante (fig. 11). L’agencement des menus est logique et
l’utilisation est intuitive pour quelqu’un habitué au travail avec
un analyseur de spectre.
Le premier harmonique présente un niveau relativement élevé,
le deuxième est correct. La densité de bruit est très bonne.
Les niveaux mesurés pour différents réglages RWB et VBW
varient très peu.
Le préamplificateur est utilisable aux basses fréquences. Il est
facile de copier des saisies d’écran sur une clé USB.

Rigol DSA832E
Ici aussi, il faut compter avec le ventilateur. L’écran est un peu
plus petit que celui du Siglent, mais dépasse celui du Peaktech
(fig. 12). L’utilisation et l’agencement des menus sont égale-
ment logiques et simples.
Figure 9. Par rapport à la figure 8, mesuré avec une atténuation Les harmoniques et la densité de bruit sont les meilleurs du trio,
de 26 dB, le niveau a été réduit à 89 dBc. et même meilleurs que sur mon appareil de référence (Key-

30 septembre/octobre 2018 www.elektormagazine.fr


L’auteur

Alfred Rosenkränzer travaille depuis


plus de 30 ans comme ingénieur de
développement, au début dans le domaine
technique de la télévision professionnelle.
Depuis la fin des années 1990, il conçoit des
circuits numériques à haute vitesse et des
circuits analogiques pour des bancs de test
de circuits intégrés.

Figure 10. Peaktech 4130 : les menus sont organisés de manière inhabituelle, mais sont
faciles à utiliser.

sight N9020A) qui commence à prendre de l’âge.


Du côté négatif, il y a le long temps nécessaire
pour enregistrer une saisie d’écran, ainsi que
l’échec de la fonction Peak Search quand les
signaux ne sont pas très clairs.
En l’absence de signal d’entrée, l’appareil a affi-
ché un petit pic à 800 kHz, qui semble dû à
l’électronique de l’appareil.
Si l’on compare la face arrière avec celle du
Siglent, on note des agencements des entrées
et sorties pratiquement identiques.

Ma conclusion
Je trouve surprenant le nombre d’appareils de
mesure quasi professionnels qu’on peut acquérir Figure 11. Siglent SSA3021X : cet analyseur impressionne avec son grand écran
de 10,1“.
aujourd’hui pour un prix relativement modeste.
Les deux concurrents de tête, Siglent et Rigol,
sont difficiles à départager. L’analyseur de Siglent
a le meilleur écran et un affichage clair. Celui
de Rigol marque des points avec de meilleures
valeurs de mesure, toutefois à partir de 2 250 €.

L’appareil de Peaktech est lanterne rouge, à


cause d’une part de son impossibilité d’enre-
gistrer des saisies d’écran sans le concours d’un
PC et d’autre part du préamplificateur utilisable
seulement à partir de 100 MHz. Le fabricant
pourrait facilement remédier à ces deux défauts.
De plus, le bruit propre est assez élevé.

L’utilisation des trois appareils ne souffre guère


de critique. Ici, les différences sont minimes.
(180290 – version française : Helmut Müller) Figure 12. Rigol DSA832E : le plus cher, mais les meilleurs résultats de mesure.

Liens
[1] Facteur de crête : https://fr.wikipedia.org/wiki/Facteur_de_cr%C3%AAte
[2] Modulation d‘amplitude en quadrature : https://fr.wikipedia.org/wiki/Modulation_d%27amplitude_en_quadrature

www.elektormagazine.fr septembre/octobre 2018 31


carte ESP32 Pico-
Discovery-Board
l’expérimentation facilitée
Erik Bartmann

L’ESP32 est la grande sœur de l’ESP8266 :


davantage de mémoire SRAM, un
processeur plus rapide, la connectivité
Bluetooth et un plus grand nombre
de broches d’entrées/sorties, voilà
quelques-unes des améliorations. Des
cartes de développement comme
l’ESP32 Pico Kit facilitent son
emploi, puisqu’elles comportent
une antenne, un régulateur de
tension et un port USB. Leurs
embases mâles permettent de
les embrocher sur une plaque
d’essai, en compagnie du
circuit de l’application. Mais
si l’on est débutant, on n’a
peut-être pas dans ses
tiroirs des composants tels
que boutons-poussoirs, LED
ou afficheur LCD. C’est ici qu’intervient la
carte ESP32-Pico-Discovery qui ajoute à ces composants
un potentiomètre et un afficheur à sept segments sur la même carte.

ESP32
Voici quelques caractéristiques importantes de la puce ESP32. On en trouvera la
liste complète dans la référence technique [12].
Dans le monde de l’Internet des Objets
règnent des champions comme l’Ar-
• CPU : double cœur à 32 bits avec deux cœurs Harvard Architecture Xtensa LX6
duino et le Raspberry Pi. Peu de socié-
• Vitesse : jusqu’à 600 DMIPS
tés ont réussi à s’attribuer une part de
• Mémoires interne et externe
ce gâteau. Toutefois c’est le cas de la
• Wi-Fi : 2,4 GHz HT40
société chinoise Espressif, qui a mis sur
• Bluetooth : BLE 4.2 (Bluetooth Low Energy)
le marché il y quelques années la puce
• Périphériques : SPI, I2C, I2S, UART, CAN 2.0 et port Ethernet
ESP8266, avec laquelle il est très facile
• CA/N : 12 bits (convertisseur analogique-numérique)
d’ajouter la connectivité Wi-Fi à un pro-
• Capteurs : tactile, Hall et température
jet. Rapidement sont apparues des cartes
• MLI : 1 canal matériel et 16 canaux logiciels
de développement d’autres fabricants
• Entrées/sorties : broches GPIO (General Purpose Input Output)
qui facilitèrent la mise en œuvre de la

32 septembre/octobre 2018 www.elektormagazine.fr


puce. La première fut la carte ESP-01, rage. La figure 1 montre une telle carte :
pas plus grande qu’un timbre-poste, mais l’ESP32 Pico-Board, aussi appelée ESP32 INFOS SUR LE PROJET
avec une antenne intégrée ; les entrées/ Pico Kit. ESP32 ESP32 Pico-Kit
sorties essentielles étaient raccordées
Arduino
à une rangée de broches. Ces cartes Pour les applications les plus
sont aujourd’hui encore très répan- variées carte de développement
dues et très appréciées. Mais Espressif Comme de coutume dans le domaine du
Æ débutant
ne s’est pas endormie sur le succès de Fais-Le-Toi-Même, le prototypage s’ef-
connaisseur
l’ESP8266 et lui a donné un successeur, fectue sur une platine d’expérimentation
expert
l’ESP32. Davantage de mémoire SRAM, plus ou moins grande, car les cartes de
un processeur plus rapide, la connecti- développement sont le plus souvent équi-
vité Bluetooth, un plus grand nombre pées de broches mâles, ce qui permet
1h
de broches d’entrées/sorties (GPIO), un montage confortable et sans stress
des circuits. Toutefois j’ai constaté que
les débutants peuvent avoir du mal à se
Fer à souder, PC avec l’EDI
procurer ou à trouver les composants
Arduino, ponts enfichables
périphériques, car les résistances, les
pour câblage
afficheurs à sept segments, les potentio-
mètres, les touches miniatures, etc. sont
le plus souvent dispersés dans des boîtes
env. 30 €
ou des tiroirs. C’est ainsi qu’est née l’idée
d’une carte avec tous les composants
nécessaires aux montages élémen-

Figure. 1. La carte ESP32 Pico-Board V4.

un capteur tactile, les conversions A/N


et N/A ne sont que quelques-uns des
points forts de l’ESP32 (voir encadré).
Là encore sont apparues d’innombrables
cartes de développement [1], la plupart
de dimensions un peu plus grandes que
pour l’ESP8266. Les cartes disposent de
composants supplémentaires tels qu’un
convertisseur USB-UART, un régulateur
de tension, une LED de puissance et des
boutons-poussoirs miniatures pour les
fonctions d’initialisation et de démar- Figure 2. La carte Pico-Discovery-Board.

www.elektormagazine.fr septembre/octobre 2018 33


+3V3 MOD1

Pushbuttons
+3V3
K11
R16 A 1
S1 330R
K6 R17
330R
B 2
1 R18 C 3
K19 K20 330R
2 DP' 5 5 D' R19 D 4
R11 330R
C' 4 4 E' R20 E 5
330R
3 3 R21 F 6
330R
10k

B' 2 2 F' R22 G 7


330R
A' 1 1 G' R23 DP 8
330R

+3V3 7-segment display

S2
K7
1 ESP32-PICO-KIT
2 K3 K1 K2 K4 BREADBOARD
R12 1 SVP 1 1 IO21/SDA 1
2 SVN 2 2 IO22/SCL 2
+3V3
3 ADC2 CH8/IO25 3 3 IO19/MISO 3 K12 K13
10k

4 ADC2 CH9/IO26 4 4 IO23/MOSI 4 GND +3V3


1 1
5 ADC1 CH4/IO32 5 5 IO18/SCLK 5
2 2
+3V3 6 ADC1 CH5/IO33 6 6 IO05/CS 6
3 3
7 ADC2 CH7/IO27 7 7 IO10 7
4 4
S3 8 ADC2 CH6/IO14 8 8 IO09 8
K8
1
9 ADC2 CH5/IO12 9 9 RX0 9 Power
10 ADC2 CH4/IO13 10 10 TX0 10
2
R13 11 ADC2 CH3/IO15 11 11 ADC1 CH7 11
K14
12 ADC2 CH2/IO02 12 12 ADC1 CH6 12 1 Potentiometer
13 ADC2 CH0/IO04 13 13 ADC1 CH2 13 2
10k

14 ADC2 CH1/IO00 14 14 ADC1 CH1 14 P1-SHIELD

15 +3V3 15 15 EN 15 K15
1
+3V3 16 GND 16 16 GND 16 P1
2
17 +5V 17 17 +3V3 17
S4
K16 10k lin
K9 10k lin 1
1
+5V +3V3 +5V +3V3 2
2
R14

K22 K21 K5
1 1 R1 1 LCD1
10k

330R
2 2 R2 2
330R K18
3 3 R3 3 1 8
330R GND
+3V3 4 4 R4 4 2 7
330R VCC
5 5 R5 5 3 6
S5
330R SDA LCD 2x16
6 6 R6 6 4 5
330R SCL
K10 7 7 R7 7
1 330R
8 8 R8 8
2 330R
R15 9 9 R9 9
330R
SCL SDA
I 2C LCD
10 10 R10 10
330R 1 2
10k

179006 - 11
LED bar graph
K17

Figure 3. Schéma de la carte. Les broches de l’ESP32 Pico-Board et des composants périphériques (LED, boutons-poussoirs, afficheur) sont ramenées
sur des embases femelles sur lesquelles on peut câbler à volonté.

taires. Je l’ai appelée ESP32 Pico-Dis- avec leurs résistances de rappel vers La figure 3 montre le schéma. On peut
covery-Board (fig. 2). le bas et leurs broches d’accès facilement voir que le charme de la carte
La carte réunit tous les composants • un potentiomètre réside dans le fait que les liaisons entre
nécessaires sur un circuit imprimé : • un afficheur à sept segments avec contrôleur et périphérie ne sont pas réa-
ses résistances série et broches lisées en dur comme sur d’autres cartes
• un support pour la carte ESP32 d’accès de développement.
Pico-Board • un afficheur LCD commandé par le L’utilisateur les réalise au moyen de ponts
• deux rangées de broches pour bus I2C et ses broches d’accès enfichables, ce qui lui ouvre des possibi-
rendre les broches de l’ ESP32 Pico- • des rails d’alimentation (3,3 V et lités quasi illimitées.
Board facilement accessibles masse) Vous pourriez réaliser un tel montage
• dix LED avec leurs résistances série • une petite platine d’expérimentation sur une carte à trous, toutefois ce serait
et broches d’accès pour ajouter des composants élec- laborieux, rien que du point de vue du
• cinq boutons-poussoirs miniatures triques ou électroniques câblage.

34 septembre/octobre 2018 www.elektormagazine.fr


LISTE DES COMPOSANTS

Matériel Elektor
Pour vous simplifier la tâche, Elekto pro-
pose dans son e-choppe un kit complet
qui comprend le circuit imprimé et tous
les composants, y compris l’ESP32 Pico-
Board (voir l’encadré « @www.elektor.
fr »). Le circuit imprimé a été réalisé
par le labo d’Elektor selon les indications
de l’auteur ; aucun détail n’a été omis,
comme les coins arrondis. Le soudage
des composants ne devrait pas poser de
problème, même aux débutants. Figure 4. L’afficheur I2C (à gauche) est constitué de l’unité d’affichage et d’une mini-carte de
Un mot sur l’afficheur LCD : le kit com- conversion I2C connectée à la Discovery-Board avec une barrette à quatre broches.

www.elektormagazine.fr septembre/octobre 2018 35


tances série ainsi qu’un mini bouton-
poussoir avec sa résistance de rappel
vers le bas.
Bien qu’il y ait dix LED avec leurs résis-
tances série sur la carte, j’ai préféré uti-
liser des composants externes pour une
représentation réaliste d’un feu tricolore.
L’application permet de mesurer très faci-
lement sa capacité à réagir et de l’affi-
cher sur le LCD. L’afficheur est piloté par
le bus I2C au moyen de deux lignes seu-
lement (SDA et SCL), ce qui minimise le
câblage. Les résistances de rappel vers
le haut nécessaires pour le bus I2C sont
déjà présentes sur le module ESP32 et
sont activées automatiquement par le
pilote. En n’utilisant qu’un seul esclave
I2C, on n’a pas besoin de résistances de
rappel vers le haut externes. Le logiciel
Figure 5. Jeu d’évaluation des réflexes sur la carte ESP32 Pico-Discovery-Board.
pour la programmation de l’ESP32 est
disponible sur la page du projet sur le
site Elektor. Il en sera question dans la
prochaine section.
prend un afficheur à 2×16 caractères et (compte tenu des tolérances de fabrica-
une mini-carte d’adaptation avec une tion) raccourcir un peu. Programmation
interface I2C. L’adaptateur est relié à la de l’ESP32 Pico-Board
Discovery-Board à l’aide d’un connec- Une petite application En ce qui concerne la programmation
teur à quatre broches coudées (fig. 4). Passons à la pratique avec la Discove- de l’ESP32 Pico-Board, les approches et
ry-Board. La figure 5 montre un jeu les langages de programmation sont des
Le kit contient aussi des entretoises pour d’évaluation des réflexes qui utilise l’af- plus divers.
l’afficheur fourni, qu’il faudra peut-être ficheur LCD, trois LED avec leurs résis- L’offre officielle d’environnement de
développement intégré d’Espressif (ESP-
IDF) [3] est un outil puissant, mais com-
plexe, avec une courbe d’apprentissage
à forte pente. Les programmeurs C++
chevronnés s’y retrouveront sans doute
rapidement. Mais pour se lancer, il y a un
EDI très répandu, qui, grâce à sa facilité
d’emploi et sa capacité d’extension, est
utilisé pour la programmation de nom-
breux microcontrôleurs.
Si vous utilisez déjà l’EDI Arduino [4]
– disponible sous tous les systèmes
d’exploitation courants – et que vous
aimez la programmation en C++, vous
serez sûrement ravi d’apprendre qu’il
existe un ESP32-Arduino-Core [5] pour
l’ESP32. L’intégration est rapide et s’ef-
fectue en 15 à 20 min environ. Toute-
fois toutes les fonctions de l’ESP32 ne
sont pas encore couvertes, mais la plu-
part des croquis qui étaient déjà dispo-
nibles pour l’ESP8266 sont opérationnels.
Voici quelques exemples de fonctions
programmables avec des commandes
Arduino classiques :

• configuration des broches avec


pinMode
• accès aux broches numériques avec
Figure 6. Sélection de la carte ESP32 Pico-Board (ESP32 Pico-Kit) dans l’EDI Arduino. digitalRead et digitalWrite

36 septembre/octobre 2018 www.elektormagazine.fr


• interruptions avec attachInterrupt
Listage 1. Sortie d’un signal sinusoïdal
• interface série
• bus I2C et SPI #define DAC1 25
• connectivités Wi-Fi et Bluetooth #define Steps 1
(cette dernière encore limitée). #define Offset 128
#define Amplitude 100
Avec le temps s’y ajouteront d’autres
fonctions. Une fois réussie l’intégra- void setup() {
tion de l’ESP32-Arduino-Core – j’utilise
pinMode(DAC1, ANALOG);
Windows 7 – j’ai obtenu par la rubrique
}
Outils -> Carte du menu la liste des
plateformes disponibles. Voilà que tout
en bas ont été annexées quelques cartes void loop() {
ESP32, y compris l’ESP32-Pico-Board (ou for(int i = 0; i < 360; i = i + Steps)
-Kit) (fig. 6). dacWrite(DAC1, int(Offset + Amplitude * sin(i * PI/180)));
Sous les systèmes d’exploitation comme }
Windows 7 et suivants, ou Linux, on n’a
en principe pas de problème de pilote et
la carte ESP32 est normalement recon-
nue. Cela dépend bien entendu du type duit une tension sinusoïdale. Les deux en CN/A avec la fonction pinMode au
de convertisseur USB-UART disponible. CN/A (DAC1 - GPIO25, DAC2 - GPIO26) moyen du mot-clé ANALOG.
La Pico-Board est en tout cas reconnue ont une résolution de 8 bits, ce qui
automatiquement, avec installation du correspond à 256 valeurs différentes Cela signifie que nous pouvons accéder
pilote Silicon Labs CP210x USB to UART (0 à 255). Le domaine s’étend de 0 V à cette broche par la fonction dacWrite,
Bridge [7]. à la tension d’alimentation de 3,3 V ; la ligne 12, ce qui se produit à répétition
résolution est donc de 13 mV environ. dans la fonction loop. La boucle for par-
Exemple de croquis Le croquis est représenté sur le lis- court le domaine de valeurs spécifié et
Voici un exemple de croquis qui utilise tage 1. En ligne 7, dans la fonction la fonction sin est utilisée pour calculer
l’un des deux convertisseurs N/A et pro- setup, la broche GPIO25 est configurée les valeurs destinées à DAC1 et trans-

Publicité

CAP sur l’innovation Les entreprises concourent dans quatre catégories.


Un cinquième trophée sera remis suite au vote du public.
Les entreprises sélectionnées par catégorie sont :

L’Intelligence Artificielle • d’avatars


« Produit à usage du grand public » : EXSENS (cabine de création
3D animés) ; OROSOUND (écouteurs antibruit TILDE
au service d’un monde réel pour le travail de bureau) ; SPORTBAK (analyse en temps réel des
performances des joueurs de sport collectif).
• «surSanté et bien-être » : BIOMODEX (planning préopératoire
maquette 3D) ; CAPTIV (tapis de prévention des escarres) ;
GOSENSE (canne blanche « intelligente »).
• « Industrie et services » : EXTALIA (sonde de diagnostic des ca-
nalisations d’eau) ; G-KEEP (optimisation/sécurisation du poste
carburant des véhicules lourds) ; TRAXENS (suivi/surveillance
des conteneurs maritimes et des wagons ferroviaires) ; T-WAVES
TECHNOLOGIES (scanner portatif pour contrôle non destructif
de matériaux opaques/collages/soudures/surfaces sous revête-
ment).
• réel,
« Jeune entreprise » : BILBERRY (scan de la végétation en temps
pour ne pas mettre de désherbant là où c’est inutile) ;
K-RYOLE (remorque à assistance électrique pour le transport
de 250 kg derrière un vélo) ; THALATOO (ordinateur de plongée à
affichage tête haute).
Cette journée sera ponctuée de témoignages et échanges sur les
technologies numériques connectées, avec comme fil conducteur un
sujet d’actualité : l’Intelligence Artificielle. Différents experts de ce
domaine interviendront lors de présentations et de tables rondes.

Cette manifestation aura lieu le 17 octobre 2018,


Les plus belles innovations des PME accompagnées par au Centre Pierre Mendès France
le programme CAP’TRONIC seront récompensées lors de la du Ministère de l’Économie et des Finances (75012 Paris).
12e édition des Trophées CAP’TRONIC. Informations détaillées et inscriptions sur www.captronic.fr

www.elektormagazine.fr septembre/octobre 2018 37


BEST-OF SERIES
COMPILATION ESP32 & ESP8266
LES PUCES ESPRESSIF DANS LES PROJETS D’ELEKTOR – 120 P.

120 pages pour

9,95 €
7,50 € pour les membres
Figure 7. Oscillogramme d’un signal sinusoïdal sur GPIO25.
SELECT DÉCOUVRIR CRÉER PARTAGER

DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN
DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN ● DESIGN
DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN ● DESIGN
DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN
DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN ● DESIGN ● SHARE ● LEARN ● DESIGN

mises à GPIO25. Un oscilloscope rac-


Figure 8. Livre numérique disponible chez
cordé à GPIO25 montre le signal sinu- Elektor : Compilation ESP32 & ESP8266.
soïdal obtenu sur cette broche (fig. 7).
Le croquis est automatiquement télé-
versé sur le module ESP32 en cliquant
sur la commande de téléchargement. Il
n’est nul besoin d’appuyer sur un bouton
quelconque de la carte pour démarrer ce
processus. La version la plus récente de Liens
ce croquis et d’autres sont disponibles au
[1] www.espressif.com/en/products/hardware/development-boards
téléchargement sur Github [8].
[2] www.elektormagazine.fr/180341
Qui a peur du Bluetooth ? [3] https://esp-idf.readthedocs.io/en/latest/
Bien entendu, l’ESP32 supporte les pro- [4] www.arduino.cc/en/Main/Software
tocoles de communication sans fil Wi-Fi
[5] https://github.com/espressif/arduino-esp32
et Bluetooth. Cette technologie hybride
[6] www.elektormagazine.fr/160454
permet, au choix, de faire fonctionner
l’ESP32 en mode autonome ou esclave. [7] www.silabs.com/products/development-tools/software/
Le module dispose du Bluetooth 4.2 Low usb-to-uart-bridge-vcp-drivers
Energy (BLE) aussi bien que du Bluetooth [8] https://github.com/erikbartmann/ElektorESP32/
classique. Bien entendu, l’ESP32-Ardui-
[9] http://ai2.appinventor.mit.edu/
no-Core a été pourvu de cette fonction,
[10] http://appinventor.mit.edu/extensions/
entre autres, de sorte que réaliser une
application Bluetooth n’a plus rien de [11] http://iot.appinventor.mit.edu/#/bluetoothle/bluetoothleintro
sorcier. Sur l’adresse Github déjà men- [12] www.espressif.com/sites/default/files/documentation/
tionnée, on trouvera aussi un exemple esp32_technical_reference_manual_en.pdf
de croquis d’une application Bluetooth
(« Hack 03 »). Il devient alors passion-
nant de réaliser en un tournemain des
applications pour un ordiphone Android
avec l’EDI App-Inventor [9]. L’échange
par BLE de données entre l’ESP32 et l’or- @ www.elektor.fr
diphone est alors un jeu d’enfant.
ªESP32 Pico-Discovery-Board (kit comprenant le circuit imprimé et tous les
composants, ESP32 Pico-Board inclus)
Toutefois il faut installer sur App- www.elektor.fr/discovery-kit-179006-71
Inventor une extension BLE [10]. Le
ªESP32 Pico-Board
thème BLE est riche d’une quantité www.elektor.fr/esp32-pico-kit-v4
d’exemples [11] qu’il vaut vraiment la
ªLivre numérique « Compilation ESP32 & ESP8266 »
peine d’étudier.
www.elektor.fr/e-book-compilation-esp32-esp8266-fr
(180431/179006 –
version française : Helmut Müller)

38 septembre/octobre 2018 www.elektormagazine.fr


Un événement oublié ?
Vous organisez une conférence, un salon… ou bien vous participez à un séminaire ou tout autre événement qui aurait sa place ici,
partagez cette information avec tous les lecteurs. Envoyez-nous tous les détails à [email protected].

septembre 2018 octobre 2018


◊ 48h européennes d’automobiles anciennes ◊ Batteries event
07 au 09/09 – Troyes 02 au 05/10 – Nice
www.48heures.com www.batteriesevent.com

◊ Nantes Digital Week ◊ Mondial de l’automobile et de la moto


13 au 23/09 – Nantes 04 au 14/10 – Paris
www.nantesdigitalweek.com www.mondial-paris.com

◊ Semaine européenne de la mobilité ◊ NI Days Elektor


!
16 au 22/09
04/10 – Paris p ar te n aire presse
www.mobilityweek.eu
nidays.fr

◊ MEMS & SENSORS SUMMIT


19 au 21/09 – Grenoble ◊ Fête de la science
www.semi.org/eu/mems-and-sensors-2018-home-page 06 au 14/10 -
partout en France
◊ Innovation Day 2018 by IoT Valley www.fetedelascience.fr
20/09 – Labège
www.innovation-day.fr
◊ Code Week
(semaine européenne du code)
◊ Salon du jeu de café 06 au 21/10
22 au 23/09 - www.codeweekfrance.org
Pouilly en Auxois
www.pinballpassion.org
◊ Geek’s live
◊ Journées nationales 13/10 – Paris
de la lumière de l’AFE www.geekslive.fr
24 au 26/09 – Marseille
www.jnl-afe.fr/marseille2018 ◊ HAMexpo
13/10 - Le Mans
◊ Salons Solutions www.ref-union.org
24 au 26/09 – Paris
www.salons-solutions.com
◊ 9e Colloque national éolien
17 au 18/10 – Paris
◊ La Mêlée Numérique www.colloque-national-eolien.fr
24 au 28/09 – Toulouse
www.meleenumerique.com
◊ Trophées Cap’Tronic Elektor
presse !
◊ SEPEM industries 17/10 – Paris par aire
te n
25 au 27/09 – Avignon www.captronic.fr
avignon.sepem-industries.com
◊ Paris Manga & Sci-fi show
◊ Micronora 20 au 21/10 – Paris
25 au 28/09 – Besançon www.parismanga.fr
www.micronora.com

◊ ADS SHOW ◊ Paris Audio Video Show


26 au 27/09 - Bordeaux-Merignac 20 au 22/10 – Paris
www.parisaudiovideoshow.com
www.adsshow.eu

◊ ENOVA PARIS Elektor


◊ Salon des véhicules de loisirs presse !
29/09 au 07/10 – Paris 23 au 24/10 – Paris partenaire
www.salonvdl.com www.enova-event.com

◊ Game Connection Europe


24 au 26/10 – Paris
www.game-connection.com

www.elektormagazine.fr septembre/octobre 2018 39


electronica
n e z 7 5 . 0 0 0 €
Gag in g)
arket
(de budget m

Parrainé
par :
Fast Forward 2018
Dernier appel !
Nous sommes à la recherche de prototypes et de startups novateurs dans le monde entier.
Rejoignez-nous pour lancer votre idée sur le marché international !

Le concours electronica Fast Forward, the Start-up Platform powered by Elektor réunit le marché mondial de l’élec-
tronique, des technologies novatrices et une présence médiatique internationale pour attirer l’attention des acteurs
les plus influents de l’industrie.

Cet appel s’adresse aux créateurs, développeurs et startups du monde entier qui travaillent sur un projet lié à l’élec-
tronique. Présentez vos idées avant le 9 septembre 2018 sur www.elektormagazine.fr/e-ffwd. Plus de 50 partici-
pants issus de 15 pays différents l’ont déjà fait !

Un jury composé de rédacteurs en chef du magazine Elektor et d’ingénieurs du labo d’Elektor passera au crible les
candidatures et les évaluera. Les auteurs des meilleurs projets seront invités à participer à la finale du concours
electronica Fast Forward 2018 qui se tiendra en novembre 2018 à Munich (Allemagne). electronica est le plus grand
salon mondial pour les composants, systèmes et applications électroniques.

Participer au concours electronica Fast Forward, the Start-up Platform powered by Elektor vous donnera l’occasion
unique d’établir des contacts dans le monde entier et d’assister à un large éventail d’événements du salon. Vous
pourrez également présenter vos idées révolutionnaires à un public international de visiteurs et de futurs clients.

Le 13 novembre 2018, un jury international sélectionnera trois gagnants parmi les finalistes présents sur le salon.
Tous les gagnants seront récompensés par un « electronica Fast Forward Start-up Award » et bénéficieront d’un
ensemble d’outils et d’actions de promotion d’une valeur totale de plus de 150.000 €. Ils seront également soutenus
par le réseau international d’Elektor qui compte plus de 250.000 abonnés.

Le gagnant se verra remettre un ensemble d’outils et d’actions de promotion d’une valeur de 75.000 €
fourni par Elektor International Media, comprenant notamment un stand d’exposition sur le salon
electronica 2020. Le deuxième recevra un ensemble d’outils et d’actions de promotion d’une valeur
de 50.000 €, fourni par Elektor International Media, et le troisième, un ensemble d’outils et d’actions
de promotion d’une valeur de 25.000 €.

Intéressé(e) ? Rendez-vous sans tarder sur la page www.elektormagazine.fr/e-ffwd pour vous


inscrire. Vous y trouverez des informations complémentaires comme les conditions générales et le
formulaire d’inscription.

Rendez-vous sur www.elektormagazine.fr/e-ffwd et complétez le formulaire. Vous trouverez sur


cette page les conditions générales du concours ainsi que des informations complémentaires.
Nous nous réjouissons de votre participation à l’édition 2018 de l’e-ffwd et nous serons heureux
de vous accueillir au salon electronica de Munich en novembre prochain.
(presque) tout ce que vous avez toujours
Q voulu savoir sur...
l’achat d’appareils
de mesure d’occasion
Réponses de Robert Lacoste

Q De nombreux fournisseurs, en
particulier asiatiques, proposent
maintenant des appareils de mesure
neufs pour des sommes modiques.
Pourquoi donc s’intéresser au marché
de l’occasion ?
Disons que l’un n’exclue pas l’autre.
R Les équipements de mesure ont
longtemps été hors de portée pour le
portefeuille de la majorité des ama-
teurs, l’achat d’occasion n’était donc
pas un choix, mais une nécessité. Depuis
quelques années, et grâce au web, on
trouve beaucoup plus facilement des
appareils de mesure financièrement abor-
dables. Souvent, le gros avantage d’un
appareil neuf est qu’il disposera de plein
de petites fioritures, disons logicielles :
port USB pour effectuer des copies
d’écran, curseurs et fonctions mathéma-
tiques avancées, etc. Par contre, à prix
égal, ses caractéristiques intrinsèques
sont généralement très inférieures à
celles d’appareils âgés de plusieurs
dizaines d’années, en particulier sur le
plan analogique. Et ce qu’on demande
à un appareil de mesure c’est surtout
de mesurer...

Q Pour un oscilloscope par


exemple, dans quel cas
conseillez-vous d’acheter neuf ou
d’occasion ? photo : shutterstock.com
Pour un oscilloscope, un achat
R d’occasion n’a en général pas
trop de sens. En effet on peut acheter
Je m’explique. Imaginez que vous vou-
liez vous acheter un oscilloscope, mais
loscope numérique professionnel datant
d’une quinzaine d’années et avec une
à des tarifs raisonnables de bons appa- avec un budget restreint à 200-250 €. bande passante de 500 MHz, comme
reils neufs avec des bandes passantes Vous pourrez acquérir un appareil neuf un TDS520 de Tektronix. L’autre cas où
largement suffisantes pour les usages dans ces prix, mais ce sera un modèle l’occasion se justifie est pour répondre à
courants (disons 100 MHz). Par contre asiatique d’entrée de gamme, proba- des exigences très spécifiques. Imaginez
regarder du côté du marché de l’occasion blement peu fiable tant sur le plan des que vous ayez besoin d’un oscilloscope
se justifie à mon avis dans deux cas : mesures réalisées que sur le plan de sa avec une bande passante très élevée,
quand on a un budget vraiment serré, tenue dans le temps. Pour le même prix, par exemple 20 GHz. Vous en trouve-
ou quand on a des besoins spécifiques. vous pourriez trouver d’occasion un oscil- rez bien sûr des neufs, mais il faudra

42 septembre/octobre 2018 www.elektormagazine.fr


débourser, euh, au moins 100.000 €… le domaine des appareils utilisés pour les soi, une solution est… d’acheter un appa-
Si vous acceptez les contraintes des mesures sur des fibres optiques, dont le reil annoncé comme en panne. Au moins
oscilloscopes numériques, mais un peu prix sur le marché d’occasion est proba- on sait ce qu’on recevra, et le prix est en
anciens (à échantillonnage), vous pour- blement 1.000 fois inférieur à celui du rapport. Avec un peu de perspicacité et
riez dénicher d’occasion un HP 54120B neuf, simplement parce que leurs utili- d’expertise, ou de chance, cela se passe
et sa tête 20 GHz HP 54121A pour pro- sateurs sont des grandes entreprises qui quelques fois très bien. Par exemple le
bablement moins de 1.000 €. n’achètent que neuf et changent souvent premier oscilloscope numérique que
de génération technologique. C’est aussi j’ai acheté était un Lecroy 9350 d’occa-
le cas dans les entreprises de télécom- sion annoncé défectueux. J’ai demandé
Q Et pour un analyseur de spectre ?
munication. Par exemple j’ai acquis il y a quelques détails sur la panne au ven-
Alors là, le choix est plus simple quelque temps pour ma société un ému- deur et il m’a indiqué que rien ne se
R selon moi. Ce qu’on recherche
dans un analyseur de spectre c’est sur-
lateur de réseau 3G Rhode & Schwarz
CMU200, dont je n’ose pas imaginer
passait lorsqu’il appuyait sur le bouton
« marche ». Une panne d’alimentation ?
tout une grande linéarité et l’absence le prix neuf. Comme les grands opéra- Cela devrait être réparable, j’ai donc pris
de signaux parasites produits par l’ana- teurs qui utilisent traditionnellement ce le risque. À l’arrivée, coup de chance,
lyseur lui-même. Cela implique beau- type de produits sont passés à la 4G, il j’ai mis cinq minutes pour trouver que le
coup de blindages et des composants était bradé 900 €. Ce qui donne toute sa filtre secteur était hors service. La répa-
haut de gamme, impossibles à trouver saveur à un tel engin, c’est de savoir que ration a été triviale et cet oscilloscope
sur un appareil neuf bon marché. Donc c’est aussi un bel analyseur de spectre et m’a servi de nombreuses années...
dans la majorité des cas, pour un ama- un générateur RF utilisables séparément,
teur au budget un peu restreint, le choix mais encore faut-il le savoir... Vous avez bien dû avoir de mau-
d’un appareil d’occasion aura ici tout son Q vaises expériences, non ?
sens. Un antique HP8590 couvre toute Oui, deux ou trois. La plus pénible
la gamme jusqu’à 2,9 GHz et se négocie
dans les 1.000 €, impossible de mettre
Q Où et comment acheter
d’occasion ?
Évidemment eBay est incontour-
R a été une vraie organisation
mafieuse qui piratait des comptes eBay
la main sur du neuf à ce prix-là avec des
caractéristiques approchantes. L’achat en
R nable. Par expérience, il ne faut
pas hésiter à proposer aux vendeurs
légitimes (avec un bon profil bien sûr),
pour soi-disant vendre des appareils très
sus d’une petite interface GPIB/USB pour des prix largement inférieurs à ceux onéreux. J’ai été un peu naïf en acceptant
réaliser des copies d’écran sur son PC affichés s’il n’y a pas d’enchérisseur, on de payer par virement bancaire un ana-
ne grèvera pas le budget. De même un a quelques fois de bonnes surprises. Il lyseur de réseaux vectoriel. Le vendeur
HP 70000, la « rolls » des analyseurs de y a aussi des tas de soldeurs (brokers) et son compte bancaire se sont volatili-
spectre il y a une vingtaine d’années, se spécialisés dans les appareils de mesure, sés immédiatement. La carte d’identité
trouve pour pas beaucoup plus cher… et souvent très sérieux, qui seront de bon dont j’avais par prudence demandé une
peut être utilisé jusqu’à plus de 100 GHz conseil pour les plus consciencieux copie était fausse, de même que les sta-
avec les accessoires adéquats, faciles d’entre eux. Les ventes aux enchères tuts de la société. Après enquête, plu-
à se procurer sur la toile ! Si l’on veut publiques peuvent aussi être de bonnes sieurs centaines de personnes se sont
effectuer des tâches plus compliquées expériences, si l’on a les nerfs solides ! fait escroquer le même jour par la même
que ce qu’un tel analyseur sait faire, par organisation. Cela arrive donc, mais ce
exemple démoduler des signaux compli- n’est pas fréquent.
qués, il est toujours possible de brico- Q Mais n’est-ce pas risqué
d’acheter d’occasion, en
ler un peu en raccordant un oscilloscope
numérique récent à la sortie « fréquence
particulier par correspondance ?
Évidemment, il y a des escrocs, Q Et le risque de panne ? Qui dit

intermédiaire » d’un tel analyseur, utilisé


alors comme un excellent récepteur. Le
R mais mon expérience montre que
99% des humains sont honnêtes et R
occasion, dit pas de garantie !
Bien sûr mieux vaut être un peu
bricoleur si l’on achète un appa-
meilleur des deux mondes quoi... sérieux ! Je parle par expérience, car reil ancien. Ceci dit, si l’on privilégie des
j’ai acheté peut-être une centaine d’ap- appareils de marques connues et très
pareils de mesure d’occasion au fil de ma répandus, il est facile de trouver à la fois
Q Y a-t-il d’autres familles
d’appareils de mesure pour carrière… Si vous êtes de nature anxieuse de la documentation sur l’internet et des
lesquelles le marché de l’occasion est alors privilégiez les soldeurs locaux ou gars sympathiques qui peuvent donner
particulièrement intéressant ? les transactions en main propre avec un un coup de main pour la réparation.
Plus un équipement est exotique, petit essai de l’appareil, mais cela réduit
R plus l’achat d’occasion est intéres-
sant. Les appareils aux fonctions spéci-
largement les opportunités. N’oubliez pas
que la plupart des transactions en ligne
Un mot encore : je ne suis pas certain
qu’un appareil neuf, mais bas de gamme,
fiques neufs sont hors de prix, car ven- peuvent être assurées en utilisant cer- ait moins de risques de tomber en panne
dus à très peu d’exemplaires, et réci- tains moyens de paiement comme Pay- juste après sa période de garantie qu’un
proquement sur le marché de l’occasion pal avec eBay. appareil qui a déjà fonctionné 30 ans
ils partent pour une bouchée de pain, sans soucis ! La réparation d’un appareil
car la demande est très faible même au récent est par contre une gageure.
niveau mondial, surtout dès que leurs Q Est-il possible de faire de très
bonnes affaires ? (180294-01)
« principaux » utilisateurs n’en ont plus Si l’on est bricoleur et chanceux,
besoin. C’est par exemple flagrant dans R et si l’on a un peu de temps devant

www.elektormagazine.fr septembre/octobre 2018 43


PROJET DE LECTEUR

machine
à cocktail
connectée
la grande gagnante
du concours ESP32

Quentin Therond (Annecy)

Dans votre maison ultra-connectée, demandez à votre assistant personnel de préparer votre cocktail
préféré. Lorsqu’il est prêt, prenez-le sur la machine. Vous ne rêvez pas ! C’est possible chez Quentin, grand
gagnant du concours ESP32 de 2018 avec sa machine à cocktail connectée. Le jury a été particulièrement
impressionné par la documentation complète et détaillée de ce projet. Grâce aux éléments fournis (schémas,
liste exhaustive des pièces, code source, conception mécanique et vidéos), vous pourrez vous aussi réaliser
votre machine à cocktail assortie à la déco de votre salon.

Tout commence lorsqu’un ami, Adrien, me montre une vidéo Pour couronner le tout, je tombe par hasard sur le site d’Elektor
où des bras robotisés préparent des cocktails dans un bar. qui propose un concours avec le fabricant Espressif autour de
Subjugué par cette machinerie, je regarde d’autres vidéos de la puce ESP32, idéale pour l’Internet des Objets (IdO).
système à cocktail automatique, toutes plus sympathiques
les unes que les autres. Au bout de quelques heures, je suis Le projet
motivé comme jamais pour commencer un nouveau projet. Ma nouvelle réalisation doit permettre de commander un cock-
Néanmoins, à la maison, j’ai besoin du WAF (wife acceptance tail avec un téléphone, une tablette, un PC ou un assistant
factor). Je propose à ma femme deux versions (ronde ou rec- vocal (Google Home, Alexa…). Les cocktails sont préalablement
tangulaire) ainsi qu’un plan d’aménagement du salon. Après enregistrés en mémoire par vos soins et sont présentés sur
une intense discussion, j’obtiens le feu vert pour le modèle une page web. Le verre est posé sur un plateau qui se déplace
rectangulaire. pour le remplissage avec les différents ingrédients liquides dis-

44 septembre/octobre 2018 www.elektormagazine.fr


Figure 1. Les fonctions du logiciel. Figure 2. Diagramme fonctionnel.

ponibles pour la boisson sélectionnée. 32), l’acquisition, le traitement, et la restitution des informa-
Les pièces mécaniques sont réalisées une imprimante 3D, tions. Six de ces GPIO sont reliées à un connecteur pour per-
les roulements à billes et les moteurs pas à pas sont propo- mettre l’ajout de fonctions via une carte d’extension.
sés aujourd’hui à des prix abordables. Pour la structure de la J’ai choisi l’ESP-WROOM-32 car il présente de nombreux avan-
machine, j’ai pris du bois reconstitué (mélaminé) souvent utilisé tages : prix attractif (pour un module Wi-Fi), de la mémoire
pour la construction de meubles. Au cours de mes recherches en quantité suffisante, EDI simple et complet avec beaucoup
sur l’internet, j’ai trouvé pour le plateau de transport du verre d’exemples, connexion Wi-Fi stable. C’est donc un excellent
des fichiers de pièces imprimables en 3D proposés par DIY choix pour fabriquer sa propre machine à cocktail connectée.
Machines [1]. Pour finir, j’ai réalisé des diagrammes qui donnent La carte est alimentée par un bloc secteur (230 V -> 12 V). Il
une vue d’ensemble des spécifications et des fonctions du logi- faut au moins 3 A pour que les moteurs pas-à-pas et les pompes
ciel (fig. 1) et du matériel (fig. 2). à eau s’activent. Sur le schéma, on voit deux régulateurs : 5 V
et 3,3 V. La carte offre donc trois tensions d’alimentation :
Mécanique et structure 12 V (moteurs, pompes), 5 V (pompes) et 3,3 V (WROOM32).
La structure de la machine peut être réalisée avec différents Les trois cavaliers P2, P4 et P5 permettent de passer par une
matériaux comme de l’aluminium profilé ou du bois (que j’ai alimentation externe pour les 12 V, 5 V et 3,3 V. C’est parce
choisi parce qu’il s’intègre mieux dans le décor de mon salon). qu’au début, je ne connaissais pas la consommation totale
Les deux planches de 1 m de large sont assemblées avec huit du projet. Je manquais de documentation technique sur cer-
équerres métalliques à 90°. Les pièces imprimées en 3D sont tains composants et je n’avais pas envie de regraver un cir-
fixées sur la planche du bas. Les tiges en aluminium sur les- cuit imprimé en cas de problème. Après des tests, l’utilisation
quelles repose le plateau de transport du verre font 95 cm de d’une alimentation externe s’est avérée inutile (cavaliers P2,
long. Au moment des tests, j’ai dû rajouter une bille (fig. 3) P4, P5 sur les broches 1 et 2).
sous le plateau pour soutenir celui-ci. En effet quand le plateau L’ULN2803 est un circuit intégré très pratique pour attaquer
est au milieu, les tiges d’aluminium ne sont pas assez solides et des LED ou un moteur si on ne veut pas s’embêter avec un cir-
s’affaissent. Je pense que cela vient de la qualité de ces tiges !
En fonction des marques de doseurs utilisés, on constate que
la pression à exercer est différente pour délivrer une dose.
J’ai ajouté une détection de fin de course sur l’axe Z (fig. 4)
pour pallier ce problème. Cela permet également d’éviter de
calibrer cet axe en fonction des doseurs.
L’ajout de ces deux fonctions absentes à l’origine n’est pas
indispensable, mais il amène plus de confort en cas d’utilisa-
tion prolongée du système.
Sur la planche du haut, j’ai installé trois tuyaux pour les
pompes à eau en position 0 et sept doseurs de bouteille espa-
cés de 10 cm chacun (position de 1 à 7).

Circuit électronique
La pièce maîtresse du système (cf. schéma, fig. 5) est basée
sur un module ESP-WROOM-32 d’Espressif qui contient le SoC
ESP32, qui comprend lui-même deux microprocesseurs à 32 bits
LX6 de Xtensa, avec une mémoire flash externe de 4 Mo et une
RAM de 512 Ko. Le module supporte les communications par Figure 3. Roue à bille pour Figure 4. Fin de course sur l’axe Z.
Wi-Fi et Bluetooth, et tout cela à une cadence de 240 MHz. Il renforcer le plateau de transport
assure, grâce à un traitement programmé (logiciel du WROOM- du verre.

www.elektormagazine.fr septembre/octobre 2018 45


P2 +3V3 OUT P4 +5V OUT +3V3 P5 +PWR
1 1 1
C4 C3
2 2 2
+3V3 +5V +12V
3 3 100n 10u 3

+3V3 PWR +5V PWR +12V PWR

2
3V3
RESET 3 37 ESP32_GPIO23
EN GPIO23/VSPID/HS1_STROBE
4 36 SPARE 1
GPIO36/SENSOR_VP/ADC_H/ADC1_CH0/RTC_GPIO0 GPIO22/VSPIWP/U0RTS/EMAC_TXD1
SW MODE 5 35 ESP32-TX
GPIO39/SENSOR_VN/ADC1_CH3//ADC_H/RTC_GPIO3 GPIO1/U0TXD/CLK_OUT3/EMAC_RXD2
SW GLASS DETEC 6 34 ESP32-RX
GPIO34/ADC1_CH6/RTC_GPIO4 GPIO3/U0RXD/CLK_OUT2
SW STOP DETEC 7 33 SPARE 2
GPIO35/ADC1_CH7/RTC_GPIO5 GPIO21/VSPIHD/EMAC_TX_EN
LED RED 8
GPIO32/XTAL_32K_P/ADC1_CH4/TOUCH9/RTC_GPIO9
U2 NC
32
LED GREEN 9 31 PUMP 3
GPIO33/XTAL_32K_N/ADC1_CH5/TOUCH8/RTC_GPIO8 GPIO19/VSPIQ/U0CTS/EMAC_TXD0
LED BLUE 10 30 PUMP 2
GPIO25/DAC_1/ADC2_CH8/RTC_GPIO6/EMAC_RXD0 GPIO18/VSPICLK/HS1_DATA7
11
GPIO26/DAC_2/ADC2_CH9/RTC_GPIO7/EMAC_RXD1
ESP-WROOM-32 GPIO5/VSPICS0/HS1_DATA6/EMAC_RX_CLK
29 PUMP 1
12 28 SPARE 3
GPIO27/ADC2_CH7/TOUCH7/RTC_GPIO17/EMAC_RX_DV GPIO17/HS1_DATA5/U2TXD/EMAC_CLK_OUT_180
ESP32_GPIO14 13 27 SPARE 4
GPIO14/ADC2_CH6/TOUCH6/RTC_GPIO16/MTMS/HSPICLK/HS2_CLK/SD_CLK/EMAC_TXD2 GPIO16/HS1_DATA4/U2RXD/EMAC_CLK_OUT
ESP32_GPIO12 14 26 SPARE 5
GPIO12/ADC2_CH5/TOUCH5/RTC_GPIO15/MTDI/HSPIQ/HS2_DATA2/SD_DATA2/EMAC_TXD3 GPIO4/ADC2_CH0/TOUCH0/RTC_GPIO10/HSPIHD/HS2_DATA1/SD_DATA1/EMAC_TX_ER
ESP32_GPIO13 16 25 BOOT
GPIO13/ADC2_CH4/TOUCH4/RTC_GPIO14/MTCK/HSPID/HS2_DATA3/SD_DATA3/EMAC_RX_ER GPIO0/ADC2_CH1/TOUCH1/RTC_GPIO11/CLK_OUT1/EMAC_TX_CLK
17 24 SPARE 6
GPIO9/SD_DATA2/SPIHD/HS1_DATA2/U1RXD GPIO2/ADC2_CH2/TOUCH2/RTC_GPIO12/HSPIWP/HS2_DATA0/SD_DATA0
18 23 ESP32_GPIO15
GPIO10/SD_DATA3/SPIWP/HS1_DATA3/U1TXD GPIO15/ADC2_CH3/TOUCH3/MTDO/HSPICS0/RTC_GPIO13/HS2_CMD//SD_CMD/EMAC_RXD3
19 22
GPIO11/SD_CMD/SPICSO/HS1_CMD/U1RTS GPIO8/SD_DATA1/SPID/HS1_DATA1/U2CTS
20 21
GPIO6/SD_CLK/SPICLK/HS1_CLK/U1CTS GPIO7/SD_DATA0/SPIQ/HS1_DATA0/U2RTS

GND GND GND GND


1 15 38 39, EP

+3V3 +3V3 +3V3 +12V

+3V3 C9
R1 R7 R6
P11
47u 1 SPARE 1
10k

10k

10k

10 16
P17 P16 2 SPARE 2
RESET 1 1 VDD VMOT
P10 ESP32_GPIO23 1 3 SPARE 3
ENA
1 C5 MS1 1 2 2 MS1 2
MS1 1 2 IC1 4 SPARE 4
3 3 MS1 P18
MS2 1 3 14 1 5 SPARE 5
100n R9 R8 MS2 2B
RESET MS3 1 4 MOTOR X 13 2 6 SPARE 6
MS3 2A
5 12 3
10k

10k

RESET 1A
+3V3 6 11 4
SLEEP 1B
ESP32_GPIO13 7
STEP A4980 +3V3
R2 ESP32_GPIO12 8
+3V3 +3V3 DIR
GND GND R5
10k

R11 R10 9 15
SW MODE

10k
S1 C6 P12
10k

10k

1 BOOT
P20 P19
100n 1 1 +12V
SWITCH MS2 1 2 2 MS2 2
MODE +3V3 C10
3 3
+3V3 R13 R12
47u
10 16
10k

10k

R4 VDD VMOT
ESP32_GPIO23 1
ENA P21 P7
MS1 2 2 IC2 1 1 ESP32-RX
10k

MS1
MS2 2 3 14 2 2 ESP32-TX
SW GLASS DETEC +3V3 +3V3 MS2 2B
P9 MS2 3 4 MOTOR Z 13 3 3
1 C8 MS3 2A
5 12 4
R15 R14 RESET 1A
100n 6 11 5
SLEEP 1B
SWITCH ESP32_GPIO15 7 6
A4980
10k

10k

STEP
GLASS P23 P22 ESP32_GPIO14 8 7
DETECTION 1 1 DIR
+3V3 8
MS3 1 2 2 MS2 3 GND GND
3 3 9 15
R3
R17 R16 +12V

+3V3
10k

P13 P3
10k

10k

SW STOP DETEC PUMP 1 OUT 1 1


P8
1 C7 2 +5V
3
U3 +12V
100n P14 4 +12V
SWITCH LM78L05 +5V OUT PUMP 2 OUT 1
STOP
DETECTION 10
C11
PUMP 1 1 CD+ 18
I1 O1 P15
10u PUMP 2 2 17 PUMP 3 OUT 1
I2 O2
PUMP 3 3 16
+PWR I3
U4
O3
LED BLUE 4 15
I4 O4
U1 LED GREEN 5 14
I5 O5 P1
LM3940IMP +3V3 OUT LED RED 6 ULN2803 13 1 +3V3 PWR
J1 1 3 I6 O6 P6
7 12 LED RED OUT 2 1
I7 O7
8 11 LED GREEN OUT 3 2 +5V PWR
I8 O8
C2 C1 GND LED BLUE OUT 4 3
2 4
9 5 4 +12V PWR
1u 47u

180076 - 55

46 septembre/octobre 2018 www.elektormagazine.fr


Figure 5. Schéma de la carte électronique au cœur de la machine à • Bleu clignotant : en cours de fabrication d’un cocktail
cocktail connectée. • Vert fixe : en attente de mise à jour par Wi-Fi
Vert clignotant : en cours de mise à jour.

cuit de commande de puissance. Cependant, il délivre au maxi- Logiciel du WROOM-32


mum 500 mA. C’est pourquoi il commande des relais, et non L’architecture du logiciel (fig. 7) montre qu’il est obligatoire
pas directement les pompes à eau. Comme les pompes en 5 V d’utiliser l’un des EDI d’Espressif. J’ai choisi le SDK-IDF (ver-
choisies n’étaient pas à la hauteur de mes espérances, je les sion 2.1) car je connaissais déjà l’EDI Arduino. Si vous voulez
ai remplacées par des modèles plus puissants, en 12 V. J’ai en utiliser un autre, la couche d’abstraction matérielle (HAL) du
dû modifier manuellement l’alimentation de l’ULN2803 sur le programme devrait vous permettre de changer facilement d’EDI.
circuit imprimé.
Les moteurs pas à pas sont commandés par un module A4988 Configuration du logiciel et des traces
à l’aide d’un signal périodique et du choix d’une direction. La Avant de programmer le WROOM-32, je vous invite à ouvrir
précision atteinte est presque chirurgicale (±1 mm en full step les fichiers suivants :
(pas entier)). Les entrées « MS_x « permettent de configurer • Board.h : choix de toutes les entrées/sorties pour la com-
la résolution des pas si vous avez besoin de plus de précision. mande des pompes, des moteurs pas à pas, des détec-
Le câblage de P21 permet de commander deux moteurs pas tions de fin course et des LED
à pas en même temps. Il est important de ne pas avoir de • Esp32.c : gestion de l’IP fixe et du mDNS
désynchronisation des vis sans fin de l’axe Z. Cela peut entraî- • MotorHandling.c : calibrage des moteurs pas-à-pas et
ner la destruction de la pièce mécanique qui vient appuyer sur pompes.
les doseurs.
Vous trouverez d’autres détails sur le circuit électronique dans Si vous n’avez pas de réseau domestique en 192.168.1.x avec
le dossier technique à télécharger en [2]. un masque de sous-réseau en 255.255.255.0, vous devez chan-
ger les informations de la fonction « IP4_ADDR » dans Html.c :
Câblage et code couleur de la LED RVB de la
machine ESP_ERROR_CHECK(tcpip_adapter_dhcpc_stop(TCPIP_ADAPTER_
Il y a plusieurs éléments à raccorder à la carte électronique IF_STA));
(fig. 6), à savoir : tcpip_adapter_ip_info_t info = { 0,};
IP4_ADDR(&info.ip, 192, 168, 1, 51);
• Trois moteurs pas à pas IP4_ADDR(&info.gw, 192, 168, 1, 1);
• Deux détecteurs de fin de course IP4_ADDR(&info.netmask, 255, 255, 255, 0);
• Au maximum trois pompes à eau ESP_ERROR_CHECK(tcpip_adapter_set_ip_info(TCPIP_
• Des cavaliers pour sélectionner le mode d’alimentation et ADAPTER_IF_STA, &info));
la résolution des pas des moteurs.
mdns_server_t * mdns = NULL;
La LED RVB fixée sous le plateau de transport donne différentes mdns_init(TCPIP_ADAPTER_IF_STA, &mdns);
informations sur l’état du système : ESP_ERROR_CHECK(mdns_set_hostname(mdns, "mybar"));
ESP_ERROR_CHECK(mdns_set_instance(mdns, "mybar"));
• Rouge fixe : en cours d’initialisation, machine non connec-
tée au réseau LAN de la box Je vous conseille d’utiliser la section #define BAR_DEBUG dans
• Rouge clignotant : envoi du SSID et mot de passe de la Debug.h et de brancher la carte à votre PC avec un adapta-
box en cours teur série vers USB sur P7. Cela permet de mieux comprendre
• Bleu fixe : système initialisé et prêt à fonctionner les éventuels problèmes logiciels. Ouvrez une console série

Figure 6. Câblage des éléments externes. Figure 7. Architecture du logiciel.

www.elektormagazine.fr septembre/octobre 2018 47


Listage 1. Tableau JSON des bouteilles.

{
"bottles": [{
"bottle": {
"name": "eau",
"note": "1",
"position": 0
}
}, {
"bottle": {
"name": "menthe",
"note": "0%vol",
"position": 1
}
},
….
Figure 8. Page web de la machine à cocktail connectée.
}]
}

(115200b, 8N1) et alimentez la carte. Les traces vont défiler. page web sur un ordiphone, d’avoir un joli raccourci avec un
Au démarrage du système, la LED est rouge tant que les axes X nom et un logo (fig. 9). Vous pouvez changer le logo en chan-
et Z ne sont pas en butée et que le système n’est pas connecté geant l’url dans link href.
au Wi-Fi. Attention : le système actuel n’a pas de système de détection
du verre. Vous pouvez en ajouter un en reliant une carte au
Connexion au Wi-Fi connecteur d’extension.
La connexion de la machine à cocktail est réalisée via le mode
SmartConfig. L’application pour ordiphone est disponible sur Positionnement des bouteilles
l’App Store (iOS) et Google Play (Android). Il faut télécharger et création des cocktails
et installer « ESP8266 SmartConfig « sur votre téléphone. Une Avant de rentrer dans le détail de la structure des données
fois le SSID et le mot de passe de la box internet envoyés, le au format JSON, sachez que vous trouverez sur l’internet des
système les sauvegarde en flash. À ce stade, la LED devient cours et des correcteurs de syntaxe JSON. Si la syntaxe n’est
bleu fixe. Vous pouvez commander un cocktail. Si l’envoi du pas correcte, le système n’affichera pas vos cocktails.
‘SSID’ et du ‘mot de passe’ ne fonctionne pas, utilisez la fonc-
tion Wifi_saveSSIDAndPass("VOTRE SSID", "VOTRE MDP"); dans
Initialize.c. Listage 2. Tableau JSON des cocktails.
{
Commander un cocktail "cocktails": [{
Pour la commande des cocktails, j’ai choisi d’utiliser une page "cocktail": {
web. Cela permet une compatibilité avec tous les téléphones, "name": "sirop de menthe",
tablettes et PC. La page web (fig. 8) est accessible à l’adresse "ingredients": [{
http://mybar.local/ ou http://192.168.1.51 de votre réseau "ingredient": {
LAN. "name": "menthe",
Les codes HTML et CSS sont produits par le code C du WROOM- "measure": 1
32. Lorsque le module démarre, le logiciel parcourt les tableaux }
au format JSON ‘bouteilles’ et ‘cocktails’ pour créer un tableau },
HTML dynamique de trois colonnes et n lignes. La première …
colonne contient les boutons CSS avec le nom du cocktail (dis- {
ponible dans le JSON). La deuxième donne la liste des ingré- "ingredient": {
dients à ajouter manuellement (non disponibles dans la liste "name": "eau",
des bouteilles). La troisième colonne inventorie le(s) ingré- "measure": 5
}
dient(s) disponible(s) dans la machine à cocktail (disponibles
}
dans la liste des bouteilles).
]
Dans la première ligne du code HTML, vous pouvez voir le titre
}
et un lien vers une image :
}, {
<title>Connected bar</title><link href="https://url.png"
....
rel="icon" type="image/x-icon" />
}]
}
Cette ligne permet, lorsque nous ajoutons le raccourci de la

48 septembre/octobre 2018 www.elektormagazine.fr


Il y a deux structures JSON dans le projet (CocktailJson.h). est à la position 0 de choisir une pompe (1 = pompe une, 2 =
La première permet de définir l’emplacement des bouteilles, pompe deux...). Cela explique pourquoi il y a plusieurs bou-
la seconde la liste des ingrédients de chaque cocktail. teilles à la même position. Attention la position doit exister
Le tableau JSON ‘bottles’ (listage 1) est une liste de bouteilles physiquement sur la machine à cocktail.
avec un nom, une position et une note. La position 0 est la Le tableau JSON ‘cocktails’ (listage 2) est une liste de cocktails,
position initiale du plateau. Le champ ‘note’ permet quand on chacun composé d’un nom et d’un tableau ‘ingrédients’. Chaque

Listage 3. Fabrication d’un cocktail.

OsQueueReceive(pCtx->xQueueCocktailEventQueue, &QueueCocktail, OsPortTimingPeriod);


LedRGBHandling_ExecuteLedTaskFromISR(BLUE_LED_FAST_BLINKING);
MotorHandling_setInitialPosition();
int nbIngredients = Cocktail_getDispoIngredients(bottleList.bottle, bottleList.position, bottleList.
measure, bottleList.note, QueueCocktail);
int goToPosition = 0;
int currentPosition = 0;

for(int i = 0; i < nbIngredients; i++)


{if(currentPosition != bottleList.position[i])
{
goToPosition = bottleList.position[i] - currentPosition;
MotorHandling_setPositionOnX(goToPosition);
currentPosition += goToPosition;
CpuDelay_ms(500);
}

{
if(currentPosition != 0)
{
MotorHandling_getAMeasureOnY(bottleList.measure[i]);
}
else
{
MotorHandling_setInitialPosition();

if(bottleList.note[i] == '1')
{
MotorHandling_getAMeasureOnPump(bottleList.measure[i], MOTOR_PUMP_3);
}
else if(bottleList.note[i] == '2')
{
MotorHandling_getAMeasureOnPump(bottleList.measure[i], MOTOR_PUMP_2);
}
else if(bottleList.note[i] == '3')
{
MotorHandling_getAMeasureOnPump(bottleList.measure[i], MOTOR_PUMP_1);
}
else
{
BarDebug_err("Pump not found\n");
}
}
}
}

MotorHandling_setInitialPosition();
LedRGBHandling_ExecuteLedTaskFromISR(BLUE_LED);

www.elektormagazine.fr septembre/octobre 2018 49


ingrédient a un nom (qui n’est
pas nécessairement disponible
dans la machine à cocktail)
et une ‘mesure’, c’est-à-dire
la quantité de liquide à servir
(1 = 1,25 ml, 2 = 2,5 ml...).
Nota : un cocktail est fabri-
qué dans l’ordre de la liste
d’ingrédients.
Donc, pour ajouter ou sup-
primer des bouteilles et des
cocktails, il faut modifier le
fichier CocktailJson.h dans
src/app/cocktail/.
Figure 9. Il est possible de
personnaliser le raccourci vers la Quand on demande la fabri-
page web. cation d’un cocktail, l’ordre
est envoyé à la tâche
QueueCocktail_receivedTask Figure 11. Carte de commande et d’accès Wi-Fi in situ.
(listage 3) via une file d’at-
tente FreeRTOS. La tâche
demande le clignotement
bleu de la LED et positionne des paquets n’est pas anodine, c’est exactement la taille d’une
les axes X et Z en butée. Puis, page mémoire. Une fois l’envoi du micrologiciel terminé, la
après avoir récupéré la liste partie applicative signale au bootloader qu’il peut démarrer
des positions, le nombre d’in- l’application à l’adresse de la nouvelle partition.
grédients et les quantités, le J’ai repris l’exemple de « classycodeoss « [3] pour cette fonc-
programme arrive dans une tion. Vous trouverez une copie de son script de mise à jour
boucle. À chaque itération, le update_firmware.py dans le répertoire utils/.
plateau va se déplacer à la
position voulue en fonction de Rendre le système compatible
la position courante et récu- avec un assistant vocal
pérer la quantité de liquide Le service IFTTT [4] (if this then that) permet de déclencher
voulue. une action en fonction d’une condition depuis tous vos appa-

Mise à jour du
micrologiciel et des
Figure 10. Configuration de cocktails par Wi-Fi
Webhooks. Il est possible de reprogram-
mer la mémoire du WROOM-
32 par Wi-Fi en cas de modification du logiciel, des bouteilles
et/ou des cocktails. L’un des avantages de cette méthode est
de pouvoir mettre à jour le système sans connexion filaire à
la machine.
Lorsque vous cliquez sur le bouton ‘update’ (en bas de la page
web), le système redémarre et lance la tâche de mise à jour.
La LED devient verte.
Depuis votre PC, vous pouvez exécuter le script de mise à jour
en donnant en paramètres l’adresse IP et le micrologiciel de
la machine à cocktail. Pendant l’exécution, l’ordinateur envoie
des paquets de 4096 octets au WROOM-32 qui les sauvegarde
dans une nouvelle partition les uns après les autres. La taille

Liens
[1] Pièces en 3D de DIY Machines : www.thingiverse.com/thing:2478890
[2] Dossier technique sur la page du labo d’Elektor : www.elektormagazine.fr/labs/connected-cocktail-machine
[3] Source du code de la mise à jour utilisé dans le projet : https://github.com/classycodeoss/esp32-ota
[4] IFTTT : https://ifttt.com/

50 septembre/octobre 2018 www.elektormagazine.fr


reils IdO compatibles IFTTT. La liste est longue. Je me limite

Publicité
ici à son utilisation sur un assistant vocal, mais vous pouvez
créer d’autres applets. Pour utiliser le service IFTTT, vous devez
rediriger un port de votre box internet vers la machine à cock-
tail connectée (IP :192.168.1.51, port :4551).
Pour créer une appliquette (ou applet) sur IFTTT avec Google
Home, il faut ouvrir un compte sur leur site [4]. Cliquez sur
‘New Applet’. Vous verrez : if ‘this’ then ‘that’. Cliquez sur ‘if’,
et sélectionnez ‘Assistant Google’ et suivez les instructions.
Cliquez sur ‘that’, sélectionnez ‘Webhooks’, et suivez les ins-
tructions (fig 10).
Webhooks permet d’envoyer une requête HTTP sur la machine
à cocktail. Lorsque le système reçoit une requête de type POST
envoyée par IFTTT, il vérifie si le nom du cocktail est disponible
dans la liste des cocktails. Si le cocktail existe, on renvoie le
code HTTP ‘HTTP/1.1 204’, sinon ‘HTTP/1.1 400’.

Modifications pour la machine V2


La première version de la machine à cocktail est fonctionnelle,
mais montre que certaines améliorations sont à prévoir pour
la seconde version. Du côté matériel, je dois refaire un circuit
imprimé avec la modification de l’alimentation sur l’ULN2803
(12 V au lieu de 5 V). Je dois ajouter des relais pour la com-
mande des pompes et supprimer la possibilité d’utiliser une
alimentation externe.
Du côté logiciel, une connexion sécurisée entre le WROOM-
32 et IFTTT serait plus professionnelle. J’aimerais également
pouvoir mettre à jour les bouteilles et cocktails sans avoir à
reprogrammer tout le logiciel. Il faut changer la section #define
MY_IP par une fonction de getIp();.
Si vous réalisez cette magnifique machine, pensez à poster une
photo de votre réalisation sur le site du labo d’Elektor.
(180076-01)
L’auteur remercie

• Elektor et Espressif pour l’organisation du concours.


• Adrien pour son aide sur la partie électronique.
• DIY Machines pour la modélisation des pièces 3D.
• Classy Code GmbH pour leur code d’exemple sur l’OTA.

@ www.elektor.fr
ªModule ESP32-WROOM-32
www.elektor.fr/esp-wroom-32
ªCarte ESP32-Pico-Kit
www.elektor.fr/esp32-pico4
ªModule module ESP32-WROVER V4
www.elektor.fr/esp32-wrover-v4
ªCarte ESP32 DevKitC (à base d’ESP-WROOM-32)
www.elektor.fr/esp32-devkitc
ªCarte de développement ESP-WROVER-Kit
www.elektor.fr/esp-wrover-kit
ªKit de l’horloge à tubes VFD et ESP32
avec coffret en acrylique
www.elektor.fr/vfd-tube-clock
ªM5Stack – kit de développement à base d’ESP32
www.elektor.fr/m5stack-basic-devkit

www.elektormagazine.fr septembre/octobre 2018 51


diodes Gunn
drôle de composant n°38
Neil Gruending

Ce n’est pas la première fois que nous présentons un type de


diode dans drôle de composant. Il faut dire que les pionniers
de l’ère des semi-conducteurs étaient créatifs ! Cette fois-ci,
c’est le tour des diodes Gunn qui sont courantes dans les cir-
cuits RF et qui ne sont pas tout à fait des diodes...
Normalement une diode est un dipôle qui contient une jonction
PN en silicium. Une diode Gunn est aussi un dipôle, mais les
jonctions qu’elle contient n’utilisent que du silicium dopé N ; le
transfert d’électrons au cœur de son mode de fonctionnement
rend la présence de zones dopées P inutile. Les jonctions sont
constituées de deux couches externes fortement dopées, sépa-
rées par une couche au dopage plus faible (fig. 1). À mesure
qu’une tension est appliquée aux bornes de la diode, l’intensité
du courant qui traverse sa couche interne augmente jusqu’à ce
que la tension soit suffisamment élevée pour que sa résistivité
augmente et que l’intensité du courant diminue.
Cette construction lui confère la caractéristique de transfert cavité ou un guide d’onde pour ajuster la fréquence, comme
de la figure 2. L’intensité du courant qui traverse une diode sur la photo.
normale augmente en continu avec la tension à ses bornes, Les diodes Gunn étaient typiquement utilisées dans les applica-
mais pour une diode Gunn, il existe une région entre un pic et tions RF au-delà de 1 GHz, mais elles sont maintenant utilisées
une vallée de la courbe où l’intensité du courant diminue alors dans les circuits à très haute fréquence, au-delà de 10 GHz. En
que la tension appliquée augmente, créant une résistance plus des oscillateurs, elles servent aussi de source de signal
négative. C’est ce comportement qui est appelé l’effet Gunn, radar dans les radars de police, les systèmes de sécurité, etc. ;
du nom du physicien J. B. Gunn qui fût le premier à le docu- il est possible d’en trouver d’occasion si vous voulez expérimen-
menter en 1962 alors qu’il tentait de comprendre la source du ter. Certains radioamateurs les emploient même comme source
bruit haute fréquence qu’il mesurait. de microondes pour les émetteurs radio à 10 GHz et 24 GHz
Une utilisation courante des diodes Gunn est de mettre à pro- (Gunnplexers). Amusez-vous bien !
fit leur résistance négative pour annuler la résistance positive (180297 – version française : Kévin Petit)
d’une charge afin de provoquer des oscillations spontanées aux
Liens
fréquences microondes et donc créer un oscillateur microonde.
La fréquence est déterminée par les propriétés de la couche [1] www.radio-electronics.com/info/data/semicond/gunndiode/
centrale de la diode, mais elle peut également être influencée gunndiode.php
par le circuit externe. En pratique, la diode est placée dans une [2] www.quora.com/What-is-a-Gunn-diode

40
PEAK
n+
POINT
FORWARD CURRENT IN mA

NEGATIVE
30 A
Metal
RESISTANCE
NAL

Ip
RENT

contacts
ENTIO
E CUR

20
CONV
DIOD

n VALLEY
n+
POINT
10

Heatsink –V B +V
0.1 0.2 0.3 Vv 0.4 0.5 0.6

FORWARD VOLTAGE IN VOLTS

Figure 1. Structure d’une diode Gunn [1]. Figure 2. Caractéristique de transfert d’une diode Gunn [2].

52 septembre/octobre 2018 www.elektormagazine.fr


the startup platform

VAT E U R
P R O J ET NO
U L S E Z VOTRE
PRO P
SUR
LE MARCHÉ
INTERNATIONAL !
Participez à l’édition 2018
du concours
du 13 au 16 novembre 2018
à Munich

Les prix du concours electronica fast forward sont offerts par


Informations détaillées :
www.elektormagazine.com/e-ffwd
INNOVATION STARTUP TRADE
vol tous azimuts
piloté par : Guido Schönwälder

HAM RADIO et Maker Faire au lac de Constance

tour d’horizon
Un appel de Friedrichshafen… et quelque 180 exposants et associations de 32 pays ainsi que 15.460
visiteurs y répondent. Du 1er au 3 juin 2018 s‘est tenu au bord du lac de Constance (Bodensee, à la
frontière de l‘Allemagne, l‘Autriche et la Suisse) le 43e HAM RADIO. Tandis que se déroulait en grande
partie en même temps le salon Maker Faire Bodensee. HAM RADIO est le salon européen des radioa-
mateurs, mais il va bien au-delà.

HAM RADIO propose chaque année des stands à vocation conférences et d‘ateliers. L‘éventail des thèmes abordés cette
commerciale et des conférences. Cependant nombreux sont année allait du « Développement des panneaux solaires dans
ceux qui souhaitent simplement s‘informer, vont à la chasse le domaine des IR et des UV » au grand projet « Es‘hail-2 avec
au trésor comme au transpondeur P4-A AMSAT » en passant par des « Schémas
marché aux puces, simples pour les optotélécoms (communications THz) » .
entreprennent un vol Les thèmes montrent que le radioamateur n‘a strictement rien
en Zeppelin ou sim- d‘un homme âgé et solitaire, qui expérimente avec des pièces
plement rencontrent hors d‘âge. Le « Transpondeur Es‘hail-2 P4-A d‘AMSAT » sera
des amis venus le premier satellite géostationnaire des radioamateurs. Outre
du monde entier, le développement des modes classiques de fonctionnement
jusque-là connus des innombrables satellites de radioamateurs déjà existants,
uniquement par liai- ce projet a pour objectif de réaliser une télévision numérique
son hertzienne. amateur (TVNA) en DVB-S2. Des développeurs ont présenté
au salon un matériel de leur conception (photo 1) et ont
Formation répondu patiemment aux nombreuses questions des visiteurs.
continue Un projet de satellites de radioamateurs ne se limite pas à la
Comme d‘habitude réalisation d‘un appareil fonctionnant au sol, mais exige du
HAM RADIO pro- matériel conçu d‘être parfaitement fiable dans l‘espace. L‘une
pose aux radioa- des stations au sol nécessaire pour commander les satellites
tion pe rson nelle pour mateurs de la for- sera installée à Bochum. D‘autres informations sur ce grand
iel de concep
Photo 1. Matér d‘am ateu r (projet de mation continue projet ainsi que sur les autres satellites de radioamateurs sont
numériq ue T).
une télévision ns pond eur P4-A AMSA sous forme de accessibles en [1].
av
l-2 ec tra
satellite Es‘hai

54 septembre/octobre 2018 www.elektormagazine.fr


Photo 4. A
de gamme . utre vue in
Photo 2. Émetteur-récepteur haut Photo 3. Vue intern récepteur terne de l‘é
e de l‘émetteur-ré haut de gam metteur-
haut de gamme. cepteur me.

Émission hertzienne des radioamateurs Tout pour…


Le partenaire idéal de HAM RADIO est le DARC (Deutscher Dans le hall principal, on pouvait trouver tout ce qui compte
Amateur-Radio-Club e.V. = club allemand de radioamateurs, pour le radioamateur : de l‘antenne jusqu‘aux émetteurs-
association enregistrée) [2]. Ce club s‘efforce d‘éveiller l‘in- récepteurs haut de gamme (photo 2) qui frappent l‘imagination
térêt pour la technique et de transmettre le savoir qui s‘y rat- tant ils sont sophistiqués et de qualité professionnelle (photo 3
tache grâce aux émissions amateur. L‘objectif est d‘amener et photo 4) en passant par des appareils rudimentaires. Mais il
un plus grand nombre de jeunes à opter pour une formation n‘y a pas que les radioamateurs qui se paient le déplacement à
scientifique d‘ingénieur ou de physicien. Le club revendique HAM RADIO. Même s‘il n‘est pas très fan de HF, l‘électronicien
aussi l‘intégration de personnes handicapées et le rappro- amateur fera ici des découvertes. On y trouve d‘innombrables
chement mondial entre les peuples. Il y a en outre une prise composants électromécaniques, appareils de mesure, et bien
en charge de la protection contre les catastrophes. Pour tout d‘autres choses. Des alimentations de laboratoire à tous les prix
cela, le DARC n‘utilise pas seulement les moyens de commu- étaient en démonstration réelle (photo 5) et pouvaient même
nication habituels, comme l‘internet et son propre magazine, être comparées directement. Il était aussi possible d‘essayer et
mais aussi des offres inhabituelles comme une émission radio d‘acheter des analyseurs de spectre et oscilloscopes (photo 6),
hebdomadaire (Radio DARC) [3] diffusée chaque dimanche, à si utiles pour élucider les dysfonctionnements sans y passer
partir de 11h00 en ondes courtes / AM sur la bande des 49 m trop de temps. Parmi beaucoup d‘autres appareils, la société
(6070 kHz). L‘émission d‘une puissance de 100 kW est diffusée ICOM présentait son récepteur de radiocommunications à large
depuis l‘émetteur de la société Österreichischen bande, défini par logi-
Rundfunksender GmbH, près de Vienne et on ciel (en anglais : SDR /
peut la recevoir dans toute l‘Europe dans de Software Defined Radio)
bonnes conditions. La série de conférences de IC-R8600 (photo 7)
HAM RADIO offre aux auditeurs internationaux capable de tout recevoir
de Radio DARC la possibilité d‘apprendre com- entre 10 kHz et 3 GHz.
ment les émissions de qualité professionnelle Il permet d‘entendre et
se construisent et quelles sont les ressources de visualiser les signaux
techniques nécessaires pour les émettre. Pour reçus. Il décode diffé-
finir, un inventaire détaillé de ce qui peut aller rents protocoles numé-
mal en direct et des moyens de résoudre ces riques de transmission
difficultés de façon professionnelle. dont P25 (Phase 1),

Photo 6. Oscilloscope Rohde


& Schwarz RTM3004.

Photo 7. Récepteur ICOM SDR IC-8


gol DP832. 600 de 10 kHz à 3 GHz.
tation de laboratoire Ri
Photo 5. Alimen

www.elektormagazine.fr septembre/octobre 2018 55


Photo 9. Intensité des parasites sans
allumer
la lampe à LED n° 2 (extrait d‘Elektor
de mars/
mesure des
Photo 8. Dispositif de avril 2018).
des lampes LED du
émissions parasites
CE M du DARC.
stand du service

NXDN™, dPMR™, D-STAR ainsi que En tant qu‘autorité de régulation des


DCR (Digital Convenience Radio) et réseaux, l‘agence fédérale allemande en
démodule les signaux classiques : charge de ces problèmes a salué cette
USB, LSB, FSK, CW, AM, S-AM (AM initiative de retour des modèles douteux.
synchrone), FM et WFM (accord par Les éditions Elektor testent les modèles
incrément min. de 1 Hz). ité des par asi tes ave c lampe à LED envoyés, publient au besoin une mise à
Photo 10. Intens
mé e. jour et informent l‘agence fédérale des
n° 2 allu
CEM et résultats des mesures.
émission des lampes à LED Déjà en septembre 2017, le DARC avait jeté un pavé dans la
Le service CEM du DARC présentait son dispositif de mesure marre en avertissant dans un communiqué de presse de la
des émissions de la lampe à LED n° 2 (photo 8), tiré de montée préoccupante des parasites électromagnétiques émis
l‘article d‘Elektor intitulé « pollution électromagnétique cau- par les LED non conformes à la CEM et perturbant le trafic
sée par les lampes à LED » [4] qui examinait en détail les radio et d‘autres services radio ainsi que la réception numé-
parasites électromagnétiques émis par les lampes à LED avec rique terrestre (DAB).
les résultats d‘essai d‘une douzaine de modèles. La photo 9
représente l‘intensité des parasites sans allumer la lampe à Pour les fignoleurs
LED n° 2 et la photo 10 affiche l‘intensité des parasites avec Le salon Maker Faire Bodensee qui se tenait en parallèle offrait
la lampe allumée. quelque 150 stands consacrés à l‘invention et à la créativité.
CQDL, le magazine du DARC demandait dans son numéro Au 5e (déjà) Maker Faire du lac de Constance, on pouvait trou-
de juin d‘envoyer chez Elektor les lampes à LED « soupçon- ver tout ce que les créatifs et fins bricoleurs de toutes géné-
nées d‘émettre des parasites ». rations ont pu réaliser, du simple travail manuel jusqu‘aux
projets techniques les plus ambitieux. Pas question de rester
spectateur : vous pouviez même participer. Ainsi divers ate-
liers improvisés (photo 11) étaient ouverts, invitant de façon
ludique à « faire vous-même ». Les enfants avaient ainsi accès
aux aspects techniques dans la joie et la bonne humeur.

Modifier et transformer
Le concours annuel de présentation de boîtiers personnalisés
(Case-Modding) propose un boîtier d‘ordinateur bien habillé
de neuf (photo 12). Le Case-Modding est une discipline qui
met en avant la transformation de l‘aspect extérieur du PC
afin de valoriser son esthétique. Les matériaux utilisés, entre
autres le bois, ainsi que les procédés employés à cet effet sont
intéressants. Chacun peut donner libre cours à son imagina-
tion. Le niveau de savoir-faire manuel des exposants était
remarquablement élevé.
Une autre tradition de Maker Faire Bodensee est le Steam-
punk. Ce vocable désigne un phénomène qui paraît pour
le moins bizarre. Il s‘agit à l‘origine d‘un courant litté-
. raire apparu pour la première fois dans les années 1980 et
Maker Faire Bodensee
improvisé au salon s‘est développé jusqu‘à devenir un genre artistique à part
Photo 11. Atelier

56 septembre/octobre 2018 www.elektormagazine.fr


entière. Avec le Steampunk, des
fonctions modernes et futuristes
sont déclinées avec des attributs
stylistiques utilisant des moyens
et matériaux de l‘ère Victorienne,
l‘objet technique prend alors une
allure rétro spécifique (photo 13).
Le Steampunk fait partie d‘un mou-
vement qualifié de rétrofuturiste.
Il s‘agit d‘imaginer un objet futu-
riste tel qu‘il aurait pu voir le jour à
une époque plus ancienne [5]. Les
objets exposés étaient en général
parfaitement fonctionnels.

Chasseurs et collectionneurs
odding.
Le marché aux puces de HAM RADIO Photo 12. Case-M
proposait toutes sortes de pièces en
grande quantité, mais également des
appareils semblant venus d‘un autre âge (photo 14). D‘an-
ciennes radios (peut-être exposées au salon depuis plusieurs
années) attendaient un nouveau propriétaire (photo 15). Des
appareils semblant s‘être échappés d‘un musée surgissaient par
moment (photo 16). Mais il ne faut pas croire que ce marché
aux puces ne propose rien d‘autre que des antiquités. Des pièces Photo 13. Steampunk.
rares y étaient également proposées, par ex. pour les commu-
nications en microondes. De nombreux revendeurs également
présents sur le marché aux puces proposaient des pièces, des sous-ensembles et d‘autres marchandises intéressantes pour
les radioamateurs. À l‘ouverture du HAM RADIO, ce marché
est toujours la cible privilégiée des
vrais chasseurs de bonnes affaires
qui s‘y précipitent avec une avidité
étonnante. Le visiteur du marché
aux puces peut aussi faire l‘expé-
rience d‘y découvrir des choses,
dont il a tout d‘un coup un besoin
pressant, sans n’avoir jamais songé
qu‘il pourrait les y trouver.

Friedrichshafen vous attend du 21


au 23 juin 2019 pour le prochain
HAM RADIO qui revient ainsi à sa
tres à cadre mobile. date d‘ouverture traditionnelle (fin
Photo 14. Galvanomè
juin). Le salon Maker Faire Bodensee
a lieu en parallèle, la même semaine.

(180212 - version française : Yves Georges)

Photo 16. Ancie


n appareil (m
aux puces). arché

Liens
[1] www.amsat-dl.org/index.php
[2] www.darc.de/home/
[3] www.darc.de/nachrichten/radio-darc/
[4] www.elektormagazine.fr/160610

es). [5] https://fr.wikipedia.org/wiki/Steampunk


epteur (marché aux puc
Photo 15. Émetteur-réc

www.elektormagazine.fr septembre/octobre 2018 57


convertisseur
MLI vers CN/A
puce à entrée MLI et sortie analogique
Tam Hanna

La modulation en largeur d’impulsion (MLI) est un procédé simple pour produire des signaux analogiques
avec des moyens numériques. À tout moment, le rapport entre la largeur des impulsions et celle des pauses
d’un signal rectangulaire est proportionnel à la valeur efficace de la tension produite. Comme une seule
sortie numérique suffit au signal MLI, rien d’étonnant à ce que les microcontrôleurs disposent de la MLI
sur certaines E/S numériques. La MLI a aussi des inconvénients : les convertisseurs N/A sont plus rapides
et souvent plus précis qu’elle. Il existe maintenant un circuit intégré capable de mettre à niveau ou de
moderniser les solutions MLI.

Le signal MLI est rectangulaire et son rapport cyclique est


modulable. La valeur de crête de la tension et le rapport cycli-
que influencent directement la tension efficace. Pour que ce
soit une tension analogique assez uniforme, on ajoute norma-
lement derrière la sortie MLI un filtre passe-bas dont la fré-
quence de coupure devrait logiquement être petite par rapport
à la fréquence fondamentale du signal MLI. Ce signal devient
évidemment plus lent à mesure que la fréquence de coupure
du filtre diminue. L’ondulation dépend de l’action du filtre,
selon le rapport entre l’horloge MLI et la fréquence du filtre,
ainsi que sa pente. Ces relations font partie des connaissances
élémentaires en électronique.

La MLI filtrée
Une image du spectre nous en apprendra davantage. La
figure 1 montre le spectre non filtré d’un signal MLI cadencé
à 5 kHz avec un rapport cyclique de 25 %. On y voit que l’am-
Figure 1. Spectre d’un signal MLI à 5 kHz avec un rapport cyclique plitude des harmoniques (multiples de la fréquence de base)
de 25 %. diminue progressivement avec l’élévation de fréquence. Il est
aussi intéressant de voir comment le rapport cyclique éteint le
signal à 20 kHz et 40 kHz. Avec d’autres rapports cycliques, il
Tableau 1. Ondulation. y aurait de beaux pics à observer. Pour des rapports cycliques
Rapport Passe-bas 4,4 kHz Passe-bas 2,2 kHz extrêmes, comme d’étroites impulsions positives et négatives
cyclique Ondulation CC Ondulation CC
(rapport <1 % ou >99 %), on obtient un spectre avec des pics
(%) (VPP) (V) (VPP) (V)
presque aussi grands pour une amplitude globale moindre.
Selon sa fréquence de coupure, comparée à la cadence MLI
10 0,95 0,11 0,52 0,14
et la raideur de ses flancs, un filtre passe-bas laisse passer le
20 1,38 0,30 0,84 0,34
reste du spectre MLI et n’affaiblit bien que les composantes
30 1,62 0,49 1,02 0,55 supérieures, mais différents résidus d’ondulations apparaissent
40 1,78 0,76 1,13 0,67 en fonction des valeurs choisies. Pour s’en faire une idée, le
50 1,82 0,96 1,16 0,90 tableau 1 montre les effets mesurés de deux passe-bas nor-
60 1,77 1,16 1,13 1,09 maux avec une pente de 6 dB/octave et une fréquence de cou-
pure de 4,4 et 2,2 kHz. L’ondulation est donnée en valeur de
70 1,64 1,35 1,01 1,29
crête de la tension alternative superposée et la moyenne de
80 1,38 1,54 0,82 1,49
la tension continue produite. À 100 % de rapport cyclique, la
90 0,94 1,73 0,53 1,68
tension continue devrait théoriquement atteindre 2,0 V.

58 septembre/octobre 2018 www.elektormagazine.fr


Si maintenant vous pensez qu’en raidissant les flancs tout irait
clairement mieux, alors c’est vrai, jusqu’à « clairement ». Avec REFSEL
IOV CC
12 dB/octave et 2,2 kHz, à 50 % l’ondulation s’améliore juste
REF
IDLSEL INTERNAL REFERENCE SWITCH
de 0,50 VPP et la tension continue de 0,92 V. Bien entendu, on
pourrait encore grappiller un peu plus avec d’autres types de PD VCC
VREF
filtres comme le Bessel ou le Tchebychev, mais l’amélioration
est discutable. Tous les composants additionnels ont un prix IN PWM TO BINARY VOUT
DAC
CONVERSION
et, encore plus important, prennent de la place sur le circuit
imprimé. Reste encore une sérieuse réduction de la fréquence GND GND
de coupure, mais, en raison du ralentissement, cela entraverait
une production assez rapide de la tension continue.

Figure 2. Diagramme fonctionnel du convertisseur MLI / CN/A (source :


Mieux vaut un CN/A
Linear Technologies).
Dès le début, nous savions qu’un bon convertisseur N/A est
souvent une meilleure solution. Cela vaut pour de nombreuses
applications, même si un CN/A à 8 bits est forcément moins Tableau 2. Puces.
précis que la MLI à 16 bits, disponible sur certains microcontrô- Nombre Temps d’éta-
leurs à faible débit de sortie. Avec une résolution de 8 bits, Résolution Prix/1 k
Dénomination de CN/A blissement
l’erreur dans l’exemple utilisé serait d’environ 8 mV sur la (bits) ($)
(#) (µs)
valeur maximale de 2 V.
LTC2644-8 2 8 7,0 2,45
Dans les circuits à microcontrôleur, on n’inclut que rarement
LTC2644-10 2 10 7,4 2,60
un « vrai » CN/A. Il existe des puces avec connexions parallèle
LTC2644-12 2 12 7,8 2,75
et sérielle pour économiser les broches d’E/S. Mais parfois, on
a seulement envie d’améliorer une solution existante dans le LTC2645-8 4 8 7,0 2,55
cadre d’une révision, sans avoir à changer tout le code. Pour LTC2645-10 4 10 7,4 3,25
cela aussi, on pense à une solution qui transforme un signal LTC2645-12 4 12 7,8 3,95
MLI purement numérique en un signal continu fourni par un
vrai CN/A. On peut alors conserver le logiciel tel quel.

Comment est-ce possible ? Linear Technology a sorti toute une


famille de puces de tels convertisseurs sous la dénomination
LTC2644/5 [1]. Le tableau 2 montre leurs particularités indi-
viduelles. Il y en a à 8, 10 et 12 bits de résolution, chacune
avec deux, voire quatre convertisseurs intégrés. Elles fonc-
tionnent suivant le principe « entrée MLI – sortie CC ». Une
seule broche suffit à les commander. C’est plus économique
et plus direct que par bus I²C ou SPI !
Maintenant, l’électronicien attentif fronce les sourcils et se
pose la question : comment ce bidule fonctionne-t-il ? Même
si le schéma précis du circuit n’est pas publié, le diagramme
fonctionnel de la figure 2 permet certaines hypothèses et
conclusions. En principe, la puce est faite d’un microcontrôleur
avec des CN/A intégrés et micrologiciel adéquat. Un compteur
compte les impulsions et les pauses qui arrivent pour pou- Figure 3. Voici comment un LTC2644/5 transforme un signal MLI en une
voir calculer le rapport cyclique. Si la valeur change, il doit tension analogique (source : Linear Technologies).
modifier la commande des CN/A intégrés en conséquence. De
cette manière, on produit directement, avec le rapport cycli-
que du signal MLI, une tension continue, comme la figure 3
PWM INPUTS
en illustre le résultat. Les condensateurs de filtrage et autres LTC2644
BUFFERED
ne sont alors nécessaires que quand le signal envoyé à l’en-
IN A VOUTA
VOLTAGE
trée de la puce l’exige. IN B VOUTB OUTPUTS
En fait, tout cela est simple, non ? Vous n’avez qu’à choisir
INPUT: 1V TO 5.5V
1.7V TO 5.5V IOV CC REF
OUTPUT: 1.25V
la bonne puce et vous y mettre. Le seul obstacle, c’est que
la puce n’est disponible qu’en boîtier MSOP : 16 broches sur
0.1µF PD VCC 2.7V TO 5.5V
GND
une surface de 4 × 5 mm, pas très facile à souder à la main !
IDLSEL
0.1µF 0.1µF
REFSEL
Le matériel
GND
Un coup d’œil au circuit de base du convertisseur double à la
figure 4 convainc que son câblage n’est pas compliqué. En
haut, à gauche, on voit deux entrées MLI et à droite, deux sor-
ties tamponnées de tension continue. Ajoutons-y une entrée/ Figure 4. Circuit de base du LTC2644 (source : Linear Technologies).

www.elektormagazine.fr septembre/octobre 2018 59


Tableau 3. MLI. il est plus intéressant de la connecter à un microcontrôleur,
les puces sont justement conçues pour cela. Et qu’avons-nous
Résolution Non-linéarité max. Cadence max. MLI
sous la main pour ce faire, sinon un Arduino comme couteau
(bits) (LSB) (kHz)
suisse numérique ?
8 0,5 100,00
10 1,0 25,00 Le langage de programmation d’Arduino n’est pas très riche
12 2,5 6,25 dans le domaine MLI. Si vous savez attaquer directement les
modules matériels d’un contrôleur AVR, vous aurez certaine-
ment plus de possibilités. On trouve sur [3] une fonction de
paramétrage, nous l’utiliserons dans les prochains essais sans
sortie de référence et des tensions séparées pour les côtés plus de commentaires. Le programme de test est simple. La
entrée et sortie numériques. Notons cependant que la mise première étape est la routine de setup et à part cela, la confi-
en œuvre d’un CN/A à 12 bits impose une attention particu- guration en sorties des broches 9 et 11, prévues pour la com-
lière à son environnement électronique. À côté du découplage mande du matériel proprement dit. Elles serviront à déclen-
des tensions d’alimentation, il faut aussi garantir l’absence de cher un oscilloscope.
restes de flux et autres joyeusetés qui occasionneraient des
courants de fuite, faussant ainsi les mesures.
Avec les signaux d’entrée, les puces ne sont pas très exi- void setup() {
geantes : la fiche technique mentionne que la fréquence MLI pinMode(9, OUTPUT);
peut être comprise entre 30 Hz et une limite supérieure qui setPwmFrequency(9, 8);
dépend de la résolution (cf. tableau 3). La tension maximale pinMode(11, OUTPUT);
des signaux MLI de commande peut aller de 0,8 V à IOVCC,
}
donc 5,5 V tout au plus.
Dans la boucle principale, on produit un signal MLI très « faible »
Quelques mots encore sur les broches spéciales : Linear Tech- et un très « fort », mais pas à des valeurs extrêmes qui acti-
nologies est connu pour ses références de tension « maison ». veraient une particularité du convertisseur que nous ne sou-
Cette famille de puces est dotée d’une référence intégrée d’une haitons pas ici :
tension de 1,25 V avec une erreur maximale de 10 mV et une
dérive en température de seulement 10 ppm/K. Si la broche void loop() {
REFSEL est à la masse, la tension de référence est mise sur la analogWrite(9, 1);
broche REF et demande un condensateur d’au moins 100 nF digitalWrite(11, LOW);
(ou mieux 10 µF) en découplage à la masse. La tension ana- delay(50);
logique de sortie vaut alors 2,5 V maximum, avant un dou-
analogWrite(9, 254);
blement pour satisfaire le CN/A. Si, au contraire, la broche
digitalWrite(11, HIGH);
REFSEL est mise au niveau haut, REF sert d’entrée. On peut
delay(50);
y raccorder une tension de référence entre 1 V et maximum
}
VCC, donc 5,5 V, et elle ne sera pas doublée.

Essayons… En allumant votre oscilloscope, vous ferez une découverte désa-


Voulez-vous faire des expériences avec un tel convertisseur gréable. L’appel de la fonction analogWrite ne réinitialise pas
MLI vers CN/A ? Appliquez à cette puce un signal MLI issu nécessairement le compteur. En pratique, il se produit une cer-
d’un générateur de fonction, comme je l’ai fait au début. Mais taine instabilité entre le flanc montant de la broche 11 et l’arri-

Figure 5. Le flanc montant en sortie du convertisseur N/A. Figure 6. Le flanc descendant en sortie du convertisseur N/A.

60 septembre/octobre 2018 www.elektormagazine.fr


2.7V TO 5.5V
C3
0.1µF EXT INPUT: 1V TO V CC
5V C4
0.1µF
C2
0.1µF
IOV CC VCC IDLSEL REFSEL REF
LTC6992 ISOLATION BARRIER PD LTC2645 -12
ANALOG PWM PS9851-1 IN A VOUTA DAC CONTROL
DUTY CYCLE PWM TO
MOD OUT DAC A VOLTAGE OUTPUT
CONTROL BINARY
2.25V TO 5.5V (0V TO V REF)
(0V TO 1V)
GND V+
C1
IN B PWM TO VOUTB
0.1µF DAC B VOUTB = Hi-Z
SET DIV BINARY

RSET
50k IN C PWM TO VOUTC
BINARY DAC C VOUTC = Hi-Z

IN D PWM TO VOUTD
DAC D VOUTD = Hi-Z
BINARY

GND

Figure 7. Transmission linéaire à isolation galvanique d’un signal analogique par un photocoupleur économique (source : Linear Technologies).

vée d’une nouvelle fréquence MLI. Pour contrer la difficulté, le


mieux est de le déclencher sur le canal de sortie. Les figures 5
et 6 en montrent l’effet, ici l’activation de la persistance sur
l’oscilloscope rend visible la stabilité de la forme d’onde.

Toujours plus
Avec un signal MLI en commande, le comportement de la puce
est facile à comprendre. Cela devient intéressant quand, par
exemple au démarrage ou en raison d’une autre coupure, il
n’y a pas de signaux MLI pendant plus de 60 ms. Le convertis-
seur passe alors en mode inactif, état déterminé par la valeur
de la broche IDLSEL. Grâce à la fiche technique de la puce,
vous pouvez savoir ce qui se passe exactement. Enfin, voici
une application très intéressante de cette puce, elle donne une
indication sur ce à quoi le fabricant a probablement pensé lors
de sa conception. La figure 7 montre cette application de la @ www.elektor.fr
fiche technique dans laquelle une valeur analogique est trans- ªPicoscope 2205A
mise par un photocoupleur pour assurer la séparation galva- www.elektor.fr/picoscope-2205a
nique. Pour éviter de prendre un coupleur linéaire très cher, ªFG085, mini générateur de fonctions DDS
elle convertit d’abord le signal analogique en signal MLI pour www.elektor.fr/minidds-kit
l'envoyer dans un photocoupleur universel bon marché avant ªArduino Uno R3
de repasser en analogique. Cette façon de faire fonctionne très www.elektor.fr/arduino-uno-r3
bien en réalité. Les multimètres de Solartron, par exemple,
font usage d’une méthode similaire.

Les circuits intégrés de la série LIC2644/5 sont intéressants


non seulement pour mettre à niveau des systèmes existants, Liens
mais aussi pour se passer des systèmes de bus, par exemple.
On peut facilement convertir une sortie MLI à haute résolution [1] LTC2644 : https://bit.ly/2HLjDG2
en une sortie CN/A à haute résolution, et du coup, libérer des [2] LTC2645 : https://bit.ly/2HN9A31
lignes du microcontrôleur pour les attribuer à un afficheur ou [3] Fonction MLI sur Arduino :
à d’autres tâches. https://playground.arduino.cc/Code/PwmFrequency
(180342 – version française : Robert Grignard)

www.elektormagazine.fr septembre/octobre 2018 61


PROJET DE LECTEUR

fréquencemètre à étalonnage
automatique
pas besoin d’ajustage
Willem den Hollander

Dans un précédent numéro, nous avons décrit la réalisation d’une référence de fréquence de 10 MHz, dont la
très grande précision résulte de la synchronisation sur des signaux provenant de satellites GPS. Le projet a
été étendu à un fréquencemètre qui s’étalonne lui-même.

Bref retour en arrière inacceptable pour les basses fréquences.


Caractéristiques Pour la référence de 10 MHz, nous utili- L’essor des microprocesseurs a permis
• Étalonnage automatique sons un oscillateur précis à 20 MHz com- la mise en œuvre d’autres méthodes,
avec signal GPS mandé en tension et compensé en tem- dont celle du comptage réciproque. Avec
• Fréquences de 5 Hz à 80 MHz pérature (VCTCXO, Voltage Controlled cette méthode, on compte toujours le
• Tension d’entrée de 0,2 à 30 V Temperature Compensated Crystal nombre de périodes du signal d’en-
• Résolution à 6, 7 ou 8 chiffres Oscillator). Il est verrouillé sur la sor- trée, mais pendant un laps de temps
• Précision (après verrouillage) tie à une impulsion par seconde (1 pps) qui dépend de la précision souhaitée.
de ±1 impulsion d’un récepteur GPS, dont la précision Deux compteurs sont activés pendant
est de 10−10 lorsque les conditions sont cette fenêtre de comptage : le premier
idéales. Un produit commercial avec des recueille le nombre de périodes du signal
caractéristiques équivalentes serait tout d’entrée ; le second engrange le nombre
bonnement hors de prix ! de périodes du signal de référence. La
Il existe plusieurs méthodes pour mesu- valeur de la fréquence d’entrée est alors
rer une fréquence. Ces méthodes ont Comptage réciproque calculée comme suit :
une chose en commun : il leur faut une La méthode conventionnelle, qui est aussi
référence de fréquence, stable et précise. la plus simple, pour mesurer une fré-
Nous avons présenté une telle référence quence consiste à compter le nombre fréquence =
dans un précédent numéro [1], elle peut de périodes pendant une durée de pré-
nombre d ' impulsions d ' entrée
servir de base pour un fréquencemètre cisément 1 s. Il y a une incertitude d’une
dont l’étalonnage est automatique. unité, soit ±1 Hz, ce qui est tout à fait
( nombre d ' impulsions de référence ) × T0

62 septembre/octobre 2018 www.elektormagazine.fr


où T0 est la période du signal de réfé-
rence (1/fref). Pour un signal de référence
1pps from counter count=16
Q
divide by 16
de 10 MHz et une fenêtre de mesure
GPS receiver
de 0,1 s, 1 s ou 10 s, la résolution sera flipflop

de respectivement à 6, 7 ou 8 chiffres.

Schéma de principe
10MHz
La figure 1 reprend le schéma de prin- Q 32-bit counter
flipflop gate
cipe de la partie numérique du fréquence- 20MHz
mètre. Le compteur réciproque est formé VCO

du générateur de la fenêtre de comptage,


des deux compteurs sur 32 bits et d’une
DAC
partie du microcontrôleur. On retrouve
DAC
µController Display
par ailleurs la chaîne de production de
DAC
la fréquence de référence, dont la des-
cription détaillée a été donnée dans un
précédent numéro [1] ; nous rappelons
32-bit counter
Window
qu’à l’exception du VCTCXO (VCO 20 MHz
gate
sur le schéma), toutes les fonctions sont generator

assurées par le microcontrôleur. Input


32-bit counter
Les entrées du microcontrôleur sont en signal
gate
principe limitées à 16 MHz. Le signal
de référence est donc divisé par deux,
10 MHz ne posant aucun problème de
Figure 1. Schéma de principe du fréquencemètre. À l’exception de l’afficheur, tout est dans le
traitement. La fiche de caractéristiques
microcontrôleur !
du PIC utilisé n’est pas très claire à ce
sujet : on y parle parfois de 16 MHz, par-
fois de 20 MHz ; si quelqu’un en sait
plus à ce propos... Le microcontrôleur La plage du fréquencemètre couvre L’afficheur est un modèle à deux lignes de
du prototype de l’auteur accepte plus de 5 Hz à 80 MHz. L’amplitude du signal seize caractères de la série DOG d’Elec-
de 20 MHz sans problème, soit plus d’entrée doit être comprise entre 0,2 tronic Assembly. Une LED blanche permet
de 80 MHz à l’entrée du fréquencemètre ! et 30 V. le rétroéclairage. L’afficheur est piloté

Étage d’entrée
Le signal d’entrée doit bien entendu être
VCC VCC
conditionné pour attaquer la partie numé-
rique. Le schéma de l’étage est repris sur R6 R7 R9 R11
C6 C7 16
la partie supérieure de la figure 2. La 10 VCC
560R

560R

TE
15k

1k2

100n 2u2 7
partie inférieure montre l’afficheur, qui se PE
9
LD
trouve sur le même circuit imprimé afin C2 R3 IC1 1
C4 CLR
22R 4 Q1 14
1
IC2
d’éviter des liaisons trop longues entre 47p T1 R5 Q2 13
J1 C1 R2 22u TLV3501
5 2
CLK
T3 3 R13 Q3 12
le connecteur d’entrée et le circuit de 100k J309 74AC161 11
Q4
220k

T2 2 3
100n C3 6 P1
4
10k

traitement. Il faut en effet éviter que les D1 BC849C


P2
5
R1 22u P3
signaux analogiques de haute fréquence R4 BC849C R8 R10 R12 6 15
C5 P4 TC
GND
1M

330R

empruntent de longs chemins. 8


1k5

15k

1k2

47p

L’entrée se fait via le connecteur BNC J1.


BAT18-04
VCC
La double diode D1 limite l’amplitude du
signal pour éviter une surcharge de l’am- R16 C9 C10 C11 C12

plificateur, et le FET T1 assure une haute


J2
1R6

1u 10u 10u 100n


40 36 25 24 35 34 33 32 31 30 26
impédance d’entrée (1 MΩ). INP 1 VCC
2
VDD
D0
D1
D2
D3
D4
D5
VOUT
RST

VIN
E

Les transistors T2 et T3 amplifient le 1 39


A1 RS RS 3
20 38
A2 LCD1 CSB CSB 4
signal, qui peut ensuite être transformé LCD- DISPLAY
R14 R15
CK 5
2
C1 EA DOG-M D6
29
SI 6
en signal rectangulaire par la bascule
10k

10k

19 28
C2 D7 7
de Schmitt construite autour du com- R/W PSB CAP1N CAP1P VSS 8
37 23 21 22 27 9 HOLD
parateur IC1. La linéarité de l’amplifi- C8
10 RANGE
SW1 SW2
cateur n’a ici guère d’importance, seuls 1u

les flancs du signal sont pris en compte.


160706 - 12
Le compteur synchrone IC2 divise la
fréquence du signal par quatre, afin
de limiter la fréquence à l’entrée du
Figure 2. L’étage d’entrée se trouve sur le même circuit imprimé que l’afficheur.
microcontrôleur.

www.elektormagazine.fr septembre/octobre 2018 63


étant nécessaires pour l’interface
VCC
SPI (J4) ;
Vdisp • les sorties tamponnées à 1
R1

VCC
et 10 MHz ne sont plus nécessaires

10k
C3 C4 non plus, bien qu’un signal à 10 MHz
J2 100n 10u reste disponible sur la sortie RC5
1

20
2 (broche 16) du microcontrôleur ;
VCC 3 4 5
VDD • un second régulateur à 3,3 V a été
1 28 4 VCC V_BCKP
MCLR RB7/ICSPDAT
X1 2
RA0 RB6/ICSPCLK
27 5 1
RXD1 IC2 TIMER
7 ajouté pour l’alimentation de l’af-
4 1 3 26 6 2 8
VCC ADJ RA1 RB5 TXD1 AADET_N
20MHz
4
RA2 RB4
25 10
RESET NC
9
J3
ficheur, de l’étage d’entrée et du
C1 C2 5 IC1 24 6 11
RA3 RB3 1PPS EX_ANT récepteur GPS. Le VCTCXO et le
6 23 L80
RA4 RB2 SMA
100n 10u 7 22 GND GND
VCTCXO RA5 RB1 microcontrôleur disposent désormais
2 3 9 21 3 12
GND OUT RA7 RB0
10 PIC16F1778 18 de leur propre alimentation, ce dont
RA6 RC7
11 17
RC0 RC6 bénéficie la stabilité de la référence.
12 VCC
RC1 LM1117-3.3
13 16 10MHz
VDISP RC2 RC5 IC3
14 15 3 2
RC3 RC4 VIN VOUT
J4 Le connecteur J2 permet la programma-
VSS VSS GND
1 INP C12 C5 C6 C7
2
8 19 1 tion en circuit du microcontrôleur, J4 la
100n 22u 100n 100n
3 RS liaison avec l’afficheur.
4 CSB
5 CK
6 SDO J1 LM1117-3.3
IC4 Vdisp
Montage
7
1 3 2
8 VIN VOUT Comme expliqué ci-devant, l’afficheur et
9 HOLD GND
2 C8 C9 C10 C11 l’étage d’entrée sont sur le même circuit
10 WINDOW 1

22u 100n 22u 100n imprimé. Les composants sont montés


160706 - 13
en surface, sauf les connecteurs et les
boutons-poussoirs ; il est en effet préfé-
rable d’utiliser des modèles traversants
Figure 3. La partie microcontrôleur. Peu de composants, le circuit imprimé semble bien vide.
pour les composants soumis à une cer-
taine contrainte physique. Le circuit
imprimé est très compact (fig. 4) ; il
par le microcontrôleur via un bus SPI circuit est en figure 3. Il n’y a guère de est vraiment dommage que le récepteur
(connecteur J2). différences avec le circuit de la référence GPS doive être le plus loin possible de
de 10 MHz initiale, auquel nous vous ren- l’oscillateur, sinon on aurait pu tout caser
Du côté du microcontrôleur voyons. Voyons ces changements : sur un circuit guère plus grand.
Le microcontrôleur, l’oscillateur et le récep- La figure 5 montre le circuit imprimé de
teur GPS se trouvent sur le circuit imprimé • les deux LED ont été supprimées, la partie microcontrôleur, avec le récep-
principal. Le schéma de cette partie du les deux broches du microcontrôleur teur GPS seul dans son coin pour garantir
son bon fonctionnement.

L’ensemble prend place dans un boîtier


TK011 de Teko. Les panneaux avant
et arrière, initialement en aluminium,
ont été remplacés par des plaques en
acrylique (par ex. en Perspex), recou-
vertes d’un film autocollant couleur alu-
minium (parce que le récepteur GPS a
besoin d’accéder au monde extérieur).
Le connecteur de l’alimentation est
un modèle standard pour adaptateur-
secteur de 5 V.
Le prototype de l’auteur est montré en
figure 6.

Logiciel
Le logiciel du microcontrôleur, qui super-
vise toutes les fonctions nécessaires au
bon fonctionnement de l’appareil, a été
écrit en assembleur. L’emploi d’un lan-
gage de haut niveau n’aurait pas permis
d’avoir un code suffisamment compact et
efficace : la temporisation des diverses
Figure 4. Circuit imprimé de l’afficheur et de l’étage d’entrée. fonctions n’aurait pas pu être contrôlée

64 septembre/octobre 2018 www.elektormagazine.fr


Figure 5. Circuit imprimé du microcontrôleur Figure 6. Faces avant et arrière du boîtier.

avec assez de précision, le code aurait les compteurs poursuivent leurs mesures
eu besoin de plus de mémoire, et sur- normalement.
tout l’exécution du programme aurait été Le symbole à gauche sur la ligne infé- a
plus lente. rieure de l’afficheur (figures 7d et 7e)
La partie qui traite les multiplications et indique quant à lui que l’oscillateur est
manipulations pour le calcul de la fré- verrouillé sur la sortie du récepteur du
b
quence affichée a été particulièrement GPS. Si ce n’est pas le cas, la précision du
optimisée. La multiplication par 107 du fréquencemètre est tout de même garan-
contenu du compteur sur 32 bits des tie pendant une période assez longue.
impulsions d’entrée et la division dans Lorsque l’oscillateur a été verrouillé ne c
la foulée par le contenu du second comp- fût-ce qu’une fois sur le signal du GPS,
teur sur 32 bits durent maintenant moins les réglages des CN/A (voir [1]) sont
de 1 ms, conversion BCD incluse. entreposés dans la mémoire non volatile d
Le micrologiciel occupe moins de 8% du microcontrôleur ; lorsque l’appareil
de la mémoire du microcontrôleur. Il est mis sous tension, ces valeurs sont
est disponible sur la page du projet [2], lues et prises en compte pour la mesure.
de même que le dessin des circuits La fréquence du VCTCXO va bien sûr
e
imprimés. varier lentement au fil du temps, c’est
un processus normal. Lors de chaque
Mode d’emploi verrouillage sur le signal du récepteur Figure 7. a) Fenêtre de 0,1 s ;
La mise en œuvre du fréquencemètre GPS, à intervalles de temps plus ou b) Fenêtre de 1 s ; c) Fenêtre de 10 s ;
n’est pas bien compliquée. La durée de moins réguliers, les nouvelles données d) Oscillateur verrouillé et affichage figé ;
la fenêtre de mesure est sélectionnée d’étalonnage des CN/A sont stockées e) Oscillateur verrouillé, pas de signal d’entrée.
à l’aide du bouton-poussoir time ; ceci dans la mémoire du microcontrôleur, ce
permet d’ajuster la résolution de l’affi- qui garantit alors la précision de plus ou
chage (voir fig. 7). Le nombre de points moins une impulsion de comptage du @ www.elektor.fr
à droite sur la ligne inférieure de l’affi- fréquencemètre.
ªCarte GPS
cheur indique la fenêtre choisie. (180343/160706 –
www.elektor.fr/gps-board-eb056
Le symbole à gauche sur la ligne supé- version française : Jean-Louis Mehren)
ªAntenne GPS
rieure de l’afficheur apparait pen-
www.elektor.fr/trimble-gps-antenna
dant environ 0,2 s lorsqu’un cycle de
ªProgrammateur de PIC
mesure est complet et que l’affichage est www.elektor.fr/pic-eb006
rafraîchi (figures 7a et 7c). Le bouton- Liens ªProgrammateur universel TL866A
poussoir hold permet de figer l’affi- www.elektor.fr/tl866a-programmer
[1] www.elektormagazine.fr/160594
chage (figures 7d et 7e) ; ceci signi-
fie que celui-ci n’est plus rafraîchi, mais [2] www.elektormagazine.fr/180343

www.elektormagazine.fr septembre/octobre 2018 65


PROJET DU LABO

serveur web ESP8226 pour


ruban de LED NeoPixels
agitation de puces WS2812 avec l’ESP-01
Pascal Rondane (Tours), Bastian Bouchardon (Tours)

Ce montage permet de commander par Wi-Fi une guirlande de LED RVB WS2812 (également appelées
NeoPixels [1]). Grâce au module ESP-01 (animé par une puce ESP8266), la carte se transforme en point
d’accès Wi-Fi. Il suffit d’ouvrir une page web sur un ordiphone ou une tablette (pas d’installation de logiciel)
pour sélectionner l’un des 44 effets lumineux et l’un des cinq niveaux de luminosité des LED. La longueur du
ruban de LED peut varier de un à cinq mètres ; en général, le nombre de LED par mètre est de 30, 60 ou 140.

Carte passerelle besoin de quelques composants addition- tection : elle fera griller le fusible F1 si
Le schéma (fig. 1) montre que la carte nels pour y arriver. la polarité de la tension d’alimentation
n’est pas très compliquée. Le module Tout d’abord l’alimentation : vous pouvez est incorrecte. Les LED WS2812 (égale-
ESP-01 à base d’ESP8266 (MOD1) se raccorder au connecteur K1 un modèle ment disponibles sous forme traversante)
charge de tout le travail, toutefois il a de 5 V / 2 A. La diode D1 sert de pro- consomment à peu près 60 mA chacune

66 septembre/octobre 2018 www.elektormagazine.fr


à pleine intensité, donc une alimentation blème. Le montage est ensuite installé
de 2 A suffit pour environ 30 LED (ruban dans un boîtier Hammond. INFOS SUR LE PROJET
de 1 m). Si vous connectez un ruban
Ruban de LED
de 2 m, il ne faut pas mettre toutes les Problèmes de
serveur web ESP8266
LED à pleine intensité en même temps. changement de niveau
Si vous avez besoin de plus de puis- Le circuit initial comportait un transis- ESP-01 Wi-Fi
sance (c’est-à-dire de plus de LED, plus tor MOSFET 2N7002 avec des résis-
Æ débutant
de 2 m), vous pouvez connecter une ali- tances de rappel vers le haut de 10 kΩ
connaisseur
mentation plus costaud sur K3 au lieu reliées au 5 V et au 3,3 V. C’était une
de K1. Dans ce cas, n’oubliez pas de mauvaise solution : comme le signal expert
remplacer F1 par un modèle pour une de données des NeoPixels est un signal
intensité plus élevée (c’est-à-dire juste carré à 800 kHz, l’amplitude de la ten-
env. 1 h
en dessous du courant maximal de l’ali- sion sur le drain du FET atteignait à
mentation). Quelle que soit l’alimen- peine les 3,5 V nécessaires pour que les
tation connectée, ne dépassez pas 4 A LED détectent un niveau logique haut,
sur K1 ! Voir également l’encadré « ça sans parler des 5 V espérés. Apparem- outillage normal,
consomme ! « ment la tension de blocage de ces FET PC avec EDI Arduino
Lorsque vous n’avez besoin d’alimenta- (max. 2,5 V) est trop élevée pour cette
tion que pour la programmation et/ou application, un BSS138 avec un seuil
le débogage de l’ESP8266, oubliez K1 de 1,5 V fait un bien meilleur travail (bien
env. 40 €
et K3. Dans ce cas, fermez le cavalier JP2 qu’encore imparfait !).
et raccordez un câble FTDI de 3,3 V à K4.
Nota : la ligne 5 V sur la broche 3 ne
peut pas débiter plus de 50 mA, donc
déconnectez K2 (c’est-à-dire les LED)
lorsque vous utilisez K4 pour alimenter
le circuit ! Tout aussi important : retirez
V+ VCC
le câble FTDI ou JP2 avant de connecter
une source d’alimentation à K1 ou K3 !
C5
VCC
Le cavalier JP1 doit être fermé à la mise
R2 R3
sous tension lorsque vous vous apprêtez K2
100u 16V
3 T1
+5V
à programmer le module ESP, n’oubliez
10k

10k

R4
pas de le retirer après la programma- 2 R8 R7 R6
OUT 220R C4 C6 C7
tion. Si JP1 est fermé à la mise sous
1 R5
BSS138
10k

10k

10k

0V
tension, l’ESP8266 démarrera en mode 100n 100n 100u
16V
V+
100k

programmation et votre application ne


fonctionnera pas.
JP2
Le régulateur à faible tension de déchet 1
Power
via USB 2 MOD1
IC1 fournit la tension d’alimentation 2
VCC
6
de 3,3 V de l’ESP-01, la LED1 est allu- K4 CH_PD
1 4
mée lorsque cette tension est présente. RST
RS232 TTL/FTDI 2 3
Le filtrage par les condensateurs C4, C6 GPIO0
3 LED_RGB 5
GPIO2
et C7 permet d’éviter les remises à zéro +5V 4 RX 8
RX TX
5 TX 1 ESP8266
TX RX
intempestives.
K3 6 7
Le transistor T1 sert à amener le niveau JP1 GND
1 ESP-01
du signal de sortie de l’ESP (3,3 V, bro- TP1 PROG ESP
2
che GPIO2) au niveau de la tension d’en- 0V
1
trée des données de la guirlande de LED 1

+5V V+ VCC
IC1
connectée sur K2, à savoir 5 V. Bien que
F1 LM3940
ce changement de niveau soit bidirec-
S1
K1 1 3
tionnel, dans ce cas, le signal n’est que IMP
LED1
sortant (c’est-à-dire 3,3 V -> 5 V).
2 TAB ON
Pendant la phase de démarrage, la
R1
D1 C3 C1 C2
résistance R5 maintient au niveau bas 5VDC
220R

100u 100n 10u


la sortie sérielle de l’ESP8266 ; lors des
LL4001G 16V 16V
changements d’effets, le condensateur
de découpage C5 filtre les appels de cou- 160487 - 11

rant du ruban de LED.


Même s’il comporte éventuellement des
composants CMS, le câblage du circuit
imprimé ne devrait pas poser de pro- Figure 1. Schéma de la carte reliée physiquement à la guirlande de LED.

www.elektormagazine.fr septembre/octobre 2018 67


Logiciel de LED. Le croquis fonctionnera même si cette
Le croquis Arduino de ce projet utilise La longueur du ruban (c’est-à-dire le constante ne correspond pas au nombre
(entre autres) la bibliothèque WS2812FX, nombre de LED) est saisie à la ligne 44 réel de LED. Si le ruban contient plus
qui contient les 44 effets lumineux qui du croquis, ici 180 (guirlande de 5 m) : de LED, les LED en trop ne s’allumeront
peuvent être sélectionnés pour le ruban #define LED_COUNT 180 pas. Si le nombre de LED est inférieur à
LED_COUNT, l’effet lumineux ne sera pas
celui escompté parce qu’une partie de
l’animation sera perdue.
LISTE DES COMPOSANTS Sur les lignes 27 et 28 du croquis, vous
pouvez modifier le nom et le mot de
passe du point d’accès ESP :
const char *ssid = "Neopixel
Elektor"
const char *mot de passe =
"Tours2017"

Pour les lecteurs francophones : il existe


une version française de la page web de
l’ESP-01. Pour cela, il suffit de changer
la constante LANGUE à la ligne 25 de ‘1’
à ‘0’ avant de compiler et télécharger
le croquis.
Grâce au serveur web, on peut se passer
d’une connexion à une box et la com-
mande est possible depuis n’importe
quel ordiphone. Pour créer le serveur,
le croquis a recours aux bibliothèques
WiFiClient et ESP8266WebServer . La
bibliothèque ESP8266WiFi elle permet
de gérer le module ESP-01.
Le croquis est largement commenté en
français et en anglais, ce qui facilite sa
compréhension et son éventuelle modi-
F1 fication. La partie initialisation (void
4
IC1 setup ) se charge de régler l’état par
K3 défaut du ruban de LED (luminosité,
D1 C2 0
C1 effet, vitesse), le débit du port sériel
S1
+
2

C3 K4 1 Power via USB


(115200) ainsi que d’activer la connexion
TP1
R5
1

JP2 Wi-Fi et de lancer la page web. Ensuite


LED1 JP1 6 C6 R3
R1 prog T1 R2 R4 K2 la partie principale (void loop) attend
ON MOD1
les demandes des clients pour les traiter.
1 2
3

R8 C4 C5
R7
2

5V DC Erreurs de compilation
1

7 8
Le labo d’Elektor a eu quelques pro-
EPS8266 R6
C7 blèmes pour compiler le code source de
ce projet après une nouvelle installa-
tion de l’EDI Arduino et de son greffon
Arduino ESP8266, des erreurs sur l’uti-
lisation des fonctions ‘min’ et ‘max’
pour être plus précis. C’était dû à
l’installation (par défaut !) dans le
Gestionnaire de carte d’une version
du greffon ESP8266 incompatible avec
la bibliothèque WS2812FX. Le passage
à la version 2.4.0-rc2 a résolu les pro-
blèmes, d’autres versions devraient
convenir.

Que la lumière soit !


Raccordez le ruban de LED au connec-
teur à trois points. Attention : le boîtier

68 septembre/octobre 2018 www.elektormagazine.fr


Liens
[1] Tout sur les NeoPixels (en français) :
https://wiki.mchobby.be/index.php?title=NeoPixel-UserGuide
[2] Tout sur les NeoPixels (en anglais) :
https://learn.adafruit.com/adafruit-neopixel-uberguide/overview
[3] Fiche technique de la puce WS2812 :
https://cdn-shop.adafruit.com/datasheets/WS2812B.pdf
[4] Page de l’article sur le site du labo d’Elektor : www.elektormagazine.fr/labs/
esp8266-web-server-for-neopixel-led-strips-160487
[5] Page de l’article : www.elektormagazine.fr/160487

Figure 2. Page mise à disposition par le serveur


web sur un ordiphone. Ça consomme !
La puce WS2812B [3] est une source lumineuse à
LED « intelligente « : le pilote et les LED RVB sont
doit être hors tension ! Ensuite connectez réunis dans un boîtier CMS au format 5050. Le
l’alimentation et appuyez sur l’interrup- boîtier comporte quatre pattes : deux broches pour
teur pour mettre sous tension. Tant qu’il l’alimentation (+5 V et 0 V), une broche DATA
n’y a pas de connexion au serveur web, IN et une broche DATA OUT qui permettent de
le ruban est en mode « démo «. transmettre les données tout le long du ruban
D’abord connectez-vous au réseau Wi-Fi de LED. Le signal est unidirectionnel, les LED ne
du point d’accès de l’ESP8266, le SSID renvoient pas d’information.
par défaut est « Neopixel Elektor « Chaque LED consomme 20 mA lorsque l’intensité
avec le mot de passe « Tours2017 « est réglée sur le maximum (255), la consommation
(vous pouvez les modifier dans le cro- Crédit image : Sparkfun, CC BY 2.0
totale est donc de 60 mA (R+V+B). Pour un ruban
quis). Ouvrez votre navigateur et entrez d’un mètre de long avec 60 LED, il faut donc
l’adresse IP 192.168.4.1 fournir 3,6 A lorsque la luminosité de toutes les LED est réglée sur 100% ! Pour
dans la barre d’adresse. 120 LED (2 m), ce sera 7,2 A ; pour 180 LED (3 m), 10,8 A.
Sélectionnez l’intensité Vous pouvez déterminer expérimentalement la puissance nécessaire pour
l’alimentation : réglez la luminosité et l’effet qui consomment le plus et
utilisez une alimentation stabilisée pour mesurer la consommation.
Avec un ruban de 144 LED (5 m), l’auteur a été obligé de connecter
l’alimentation aux deux extrémités, car il se retrouvait avec une différence
de potentiel élevée entre les deux bouts. Les dix LED situées à l’extrémité
opposée à l’alimentation avaient un comportement aléatoire. Ce problème
était lié à la qualité du support de LED et à la largeur des pistes.
Dernier conseil de l’auteur : pour les rubans NeoPixels, choisissez un bloc
d’alimentation dont la tension de sortie ne dépasse pas 5,2 V. L’auteur a
rencontré des problèmes avec des blocs d’alimentation à découpage « made
in china « très bon marché, mais avec une tension de sortie de 5,3 à 5,4 V, il
faudrait ajouter un régulateur de tension de 5 V. Aucun souci à signaler avec une
alimentation de téléphone ou d’une marque sérieuse.

ªModule Wi-Fi ESP-01 à base d’ESP8266


des LED (0%,25%,50%,75%,100%) et www.elektor.fr/esp8266-wifi
l’effet de lumière (entre 1 et 44), ªModule Wi-Fi ESP8266 programmé
appuyez sur le bouton ‘envoyer’ (ou www.elektor.fr/flashed-esp8266
‘send’) pour transférer ces paramètres ªAdaptateur de niveau (3,3 V - 5 V) pour ESP-01 (également pour Arduino)
au serveur (fig. 2). L’effet ‘100’ fera défi- www.elektor.fr/esp-01-adapter-3-3v-5v
ler tous les effets disponibles. Attention :
ªCircuit imprimé, réf. 160487-1
il faut rafraîchir la page du navigateur www.elektor.fr/esp8266-160487-1
entre chaque commande.
(160487[180355])

www.elektormagazine.fr septembre/octobre 2018 69


conception de matériel
avec (V)HDL (1)
premiers pas avec Quartus et Modelsim

Jörg Zollmann

Longtemps, la logique
programmable a été un domaine
réservé aux professionnels ou aux
fondus d'électronique, ce qui était
largement dû au fait que les puces
nécessaires étaient relativement
chères et difficiles à souder. De
plus, pour donner vie à ces puces,
il a fallu concevoir un langage de
programmation spécifique. Mais
avec le projet de « carte CPLD
au format DIL » du numéro de
mai dernier, l'utilisation d'une
telle puce se trouve sensiblement
simplifiée. Raison suffisante pour
qu'Elektor propose à ses lecteurs
de découvrir les langages de
programmation qui permettent
d'organiser la vie privée des CPLD
(et des FPGA).

Avec quelques connaissances de base monde des langages de description de mentation et est équipée d'un bouton-
et un peu d'expérience, vous vous aper- matériel avec une LED clignotante. poussoir et d'une LED avec sa résistance
cevrez rapidement qu'il n'y a là rien de (fig. 1). Notre carte d'évaluation est ter-
sorcier et que l'utilisation des CPLD ouvre Matériel simple minée (fig. 2). Une pression sur le bou-
de nouvelles perspectives, même pour Tous les exemples mentionnés dans ton doit allumer et éteindre la LED.
les projets maison. Comme plate-forme cet article sont inclus dans le dossier
matérielle, nous nous servirons pour ce du projet, disponible au télécharge- Logique séquentielle, synchrone
cours de la carte CPLD présentée dans ment sous [2]. Pour les projets petits et numérique
Elektor [1]. Comme la LED clignotante et moyens, il est judicieux de créer un Le schéma des circuits numériques part
est pour le concepteur de matériel à répertoire par projet, avec des sous- toujours de deux structures de base : un
peu près l'équivalent d'un programme répertoires baptisés sim, src et quartus. nuage de logique combinatoire et une
« Hello World » pour le programmeur, Le matériel nécessaire est très raison- partie séquentielle, cadencée par les
nous ferons nos premiers pas dans le nable : la carte CPLD a besoin d'une ali- fronts d'un signal d'horloge. La figure 3

70 septembre/octobre 2018 www.elektormagazine.fr


K2

K4 +5V
K3
IC2 1

SW1 LED
35 K1 5 1

SW1 CPLD Pin 26


LED CPLD Pin 54

Figure 1. Schéma avec bouton-poussoir, LED et résistances. Figure 2. La carte CPLD sur une platine d'essai.

montre que dans le cas du CPLD MAX II,


ces structures font partie d'un bloc
Installation
logique (Logic Element, LE). La logique L'installation de l'IDE exige 1,5 Mo environ, Quartus Prime Lite et ModelSim
combinatoire y est décrite par une table StarterEdition inclus. Pour télécharger, il suffit de s'enregistrer chez Intel et
descriptive (Look Up Table, LUT, qui, dans accepter les conditions d'utilisation habituelles. La seule chose importante est de
les composants anciens, était à base de sélectionner les bons composants (devices) (pour nous, Max II seulement), ainsi
portes ET et OU) et la partie séquen- que le paquet d'aide.
tielle y a pour symbole une bascule de
type D. En toute rigueur, il est toujours
possible de décrire et de synthétiser de concepteur de matériel elle serait ana- '<=', un appel de procédure, une instan-
la logique pilotée par les états de l’hor- logue au type de boîtier d'une puce. Dans ciation d'un composant ou, dans le cas
loge (et non les fronts), mais les verrous cette section, la liste port définit les le plus direct, une instruction process.
(latches) sont des éléments diaboliques noms des signaux et des broches ainsi Tous les processus d'une architecture
dans un circuit entièrement synchrone que leur direction, entrée ou sortie. La s'exécutent en parallèle. Le processus est
et devraient être rigoureusement pros- fonction à proprement parler, c'est-à- toujours exécuté quand il y a un change-
crits (à moins de très exactement savoir dire la vie privée du circuit, est décrite ment d'état de l'un des signaux de la liste
ce que l'on fait). C’est dû au fait que les dans l'architecture, dont les éléments de sensibilité (sensitivity list), déclarée
outils de synthèse courants, avec leur fondamentaux sont les processus VHDL. entre crochets après le mot-clé process.
analyse temporelle statique, ne savent Un processus peut être une affectation Dans le cas présent, il s'agit de clock
pas trop quoi en faire. Lors de la concep-
tion de matériel avec le langage VHDL
(VHSIC Hardware Description Language,
avec VHSIC, Very High Speed Integrated
Circuit), il est bon d’avoir à l’esprit la
logique à synthétiser ; dans notre cas,
il s’agit d'une série d'expressions com-
binatoires et de bascules commandées
par des fronts d'impulsions.

Processus
Les listages 1 et 2 montrent claire-
ment ces composants de base sous la
forme d'une description VHDL, une bas-
cule D avec un réarmement asynchrone
(reset) et une entrée de déclenchement
par signal d'horloge, ainsi que différentes
opérations de logique combinatoire.
La déclaration entity représente l'inter-
face avec le monde extérieur, en particu-
lier avec le niveau hiérarchique immédia-
tement supérieur. Pour le programmeur,
elle est comparable à une API, pour le Figure 3. Élément logique MAX II (selon la feuille de caractéristiques d'Altera/Intel [3]).

www.elektormagazine.fr septembre/octobre 2018 71


don't care (valeur indifférente), 'u' pour
Listage 1. Bascule D avec entrée inverseuse.
undefined (indéfini), et 'x' pour unknown
library ieee;
(inconnu). Cela permet, par exemple, de
use ieee.std_logic_1164.all;
simplifier la formulation de termes boo-
-- En VHDL, les commentaires sont introduits par '--' léens ou de détecter une réinitialisation
entity dff is –- Déclaration d'entité manquante ('u') ou des pilotes multiples
port ( ('x'). Pour nous, l'important est que std_
clock : in std_logic; logic n'est pas prédéfini. Pour pouvoir
reset_n : in std_logic; utiliser un signal de ce type il faut d'abord
ena : in std_logic;
inclure la bibliothèque IEEE (library).
d : in std_logic;
Cette bibliothèque, qui est l'équivalent
q : out std_logic
); d'un répertoire sur un PC, contient plu-
end dff; -- dff doit être identique à la déclaration sieurs paquets (packages). Pour accé-
-- après le mot clé entité der aux définitions contenues dans un
paquet, on utilise la directive use. Notez
architecture rtl of dff is que VHDL est insensible à la casse.
-- le nom de l'architecture 'rtl' est arbitraire
En programmation, les structures de
-- <> ici, on peut déclarer des signaux internes (voir ci-dessous)
contrôle d'exécution du programme
begin
flipflop : process (clock,reset) is comme if/elsif/else et case sont
begin d'usage courant. VHDL possède des
if (reset_n = ‘0’) then structures syntaxiques analogues, mais
q <= ‘0’; qui ne peuvent être utilisées qu'à l'inté-
elsif rising_edge(clock) then rieur des processus. Le listage 2 montre
if (ena = ‘1’) then
l'utilisation des deux structures. Pour
q <= not d; -- le bouton-poussoir est à zéro quand on le presse
éviter l'apparition de verrous intempes-
end if; -- ‘not’ inverse la logique
end if; tifs, la règle est que chaque signal doit
end process flipflop; recevoir une affectation dans tous les
end rtl; -- le même nom qu'après architecture cas de figure. Pour la clause case, il est
nécessaire que le cas others soit pré-
sent, et pour la clause if, la branche
et reset. Pour un programmeur en C, Types de données else « obligatoire » ne doit pas manquer.
cette partie est habituellement un casse- Pour la description du matériel, un Comme alternative, on peut affecter des
tête et une source d'erreurs. Toutefois nombre limité de types de données valeurs par défaut aux signaux au début
le langage VHDL est par nature multi-fil. devrait suffire. VHDL dispose toutefois du processus.
Pour la communication interprocessus (à de toute une série de ces types de don-
fil sécurisé, thread safe), on utilise des nées. Et de plus, VHDL est un langage Modelsim
signaux (signal). fortement typé, c'est-à-dire qu'il n'y a Un avantage important de VHDL est
pas de conversion implicite de type, toute que la description peut être entièrement
Pour les processus, il ne devrait y avoir affectation doit se faire entre données de simulée. La fonctionnalité d'un circuit
que trois variantes de la liste de sensi- même type ou bien la conversion doit peut donc être testée de manière appro-
bilité. La première comprend les signaux être spécifiée explicitement. Le type de fondie avant même qu'il soit implanté
clock et reset. Ces processus condi- donnée le plus élémentaire de VHDL est dans un FPGA/CPLD ou même un ASIC
tionnent les parties séquentielles du le bit seul ou groupé (bit_vector). Les (Application-Specific Integrated Circuit).
circuit (avec une réinitialisation asyn- signaux de ce type peuvent prendre les Malheureusement, il se cache là aussi
chrone). La deuxième variante comprend valeurs '0' ou '1'. Ce type devrait en prin- quelques pièges. Car n'importe quel
un all. Depuis VHDL-2008, elle sert à cipe suffire, mais pour la synthèse, le code VHDL qui a donné des résultats
décrire les processus pour la logique type std_logic qui peut prendre neuf corrects à la simulation n'est pas pour
combinatoire. La troisième variante est valeurs s'est avéré le plus important. Un autant automatiquement synthétisable,
une liste de sensibilité vide, prévue pour signal de ce type peut, en plus du '0' c'est-à-dire convertible en un schéma
la simulation (voir ci-après). et du '1', prendre les valeurs '-' pour matériel. Mais si, au moment du codage
en VHDL, on s'en tient aux composants
de base mentionnés ci-dessus, il ne
Adaptateur de programmation devrait pas y avoir de problème. Pour
la simulation, on utilise des outils spéci-
Un adaptateur est indispensable pour programmer la carte CPLD. La société Terasic
fiques. Celui fourni gratuitement par Intel
en propose un, qui est recommandé par Altera. Les clones chinois qu'on peut trouver
s'appelle Modelsim, de Mentor Graphics
sur eBay pour moins de 10 € semblent fonctionner sous Unix, aux dires de la com-
(propriété de Siemens). Une description
munauté internet. Sous Windows 10, des écrans bleus peuvent malheureusement
exhaustive de ce logiciel sort du cadre
apparaître. Il est quelquefois nécessaire d'installer manuellement le pilote du câble
de cet article. Pour commencer, il suffit
de téléchargement USB Blaster. Pour cela, il suffit d'indiquer son chemin (Intel Ins-
d'une poignée d'instructions, que nous
tallation>Drivers) dans le gestionnaire de périphériques.
réunissons dans un fichier *.tcl. Tcl est

72 septembre/octobre 2018 www.elektormagazine.fr


Figure 4. Simulation d'une bascule D dans Modelsim.

l'acronyme de Tool Control Language, un liser. Pour créer un nouveau projet, on fichiers d'administration. Les plus impor-
langage de script très répandu dans le démarre le Project Wizard (File " new tants sont le fichier de projet (*.qpf),
milieu de la conception des ASIC/FPGA. Project Wizard) et on répond à ses ques- le fichier des réglages (settings file, *.
Pour la simulation du circuit, il faut un tions. On fait pointer le working directory qsf) et, éventuellement, des fichiers de
banc d'essai (testbench). C'est un code du wizard sur le répertoire quartus, où contraintes (constraint files, *.sdc). Il
VHDL spécifique qui simule le Device Quartus dépose un bon nombre de ses suffit d'indiquer, s'il y en a, les fichiers
under Test (DUT/UUT) autrement dit le
circuit qui entoure le code à tester. Le
listage 3 montre un banc d’essai pour Listage 2. Nuage combinatoire.
la bascule D. On délivre un signal d'hor- library ieee;
loge et on change plusieurs fois l’état use ieee.std_logic_1164.all;
de l'entrée D de la bascule. Ceci se pro-
duit à l'intérieur d'un processus dont la entity logic_cloud is
liste de sensibilité est vide. Les instruc- port (
a : in std_logic;
tions wait y sont impératives. De plus, le
b : in std_logic;
testbench affiche l'une des nombreuses
c : in std_logic;
variantes possibles de structuration avec d : out std_logic;
VHDL. Le circuit à tester (DUT) est ins- e : out std_logic
tancié au moyen d'une déclaration com- );
ponent. Pour démarrer la simulation, on end logic_cloud;
change le répertoire en cours pour se
architecture rtl of logic_cloud is
retrouver sous le répertoire sim. Si l'on
saisit maintenant dans la fenêtre Trans-
signal abc : std_logic_vector (2 downto 0) := (others => ‘0’);
cript la commande do 'sim_dff.tcl', les -- abc est un bus/vecteur à 3 bits de signaux de type std_logic
commandes qu'elle contient sont exécu- -- les signaux 2 à 0 sont initialisés à '0'
tées l'une après l'autre (listage 4), la
simulation est démarrée et le résultat begin
affiché sous forme graphique. Les deux
abc <= a & b & c ; -- concaténation = a,b et c dans le même bus
commandes les plus importantes sont
vcom, l'appel du compilateur, auquel on
combinatorial : process (all) is -- all existe depuis VHDL-2008 et a
peut passer en paramètre la version de -- été conçu pour la logique combinatoire
VHDL qu'il doit utiliser, et vsim, le démar- begin
rage de la simulation. On peut admirer le
résultat de la simulation sur la figure 4. if abc = "001" then
Dans les fenêtres Simulation et Object, d <= ‘1’;
elsif abc = "101" then
on peut, par un clic droit, ajouter d'autres
d <= ‘1’;
signaux à l'affichage graphique de la
else -- IMPORTANT ! si cette clause else manque,
fenêtre Wave. Avec un clic sur le sym- -- on synthétise un verrou
bole de la disquette dans la fenêtre Wave d <= ‘0’;
(eh oui, cet outil a déjà un certain âge), end if;
on enregistre les signaux présents sur end process combinatorial;
l'écran pour les réutiliser lors de la pro-
mux : process (all) is
chaine exécution de la simulation. Une
begin
fois que la simulation a donné satisfac-
case (abc) is --
tion, il est temps de s'occuper du CPLD. when "000" => e <= ‘1’;
when "011" => e <= ‘1’;
Environnement de when others => e <= ‘0’; -- ici, la règle n'est pas aussi
développement Quartus -- précise, mais avec une clause
Pour le développement d'applications end case; -- 'others’, on ne peut pas se tromper
FPGA et CPLD, Intel propose un envi-
end process mux;
ronnement de développement inté-
gré (IDE) complet. Pour avoir un bon end rtl;
aperçu d'un IDE, le mieux, c'est de l'uti-

www.elektormagazine.fr septembre/octobre 2018 73


source déjà disponibles dans le réper-
Listage 3. Bascule D de banc d'essai.
toire src, ainsi que la cible visée (Target
library ieee; Device) et on peut se lancer.
use ieee.std_logic_1164.all;
Un autre réglage concerne les Standard
library work;
Compiler Settings. Sous Assignments "
entity tb_dff is -- le banc d'essai ne possède pas de liste de ports
Settings " Compiler Settings, on peut
end tb_dff; spécifier la version de VHDL. VHDL-2008
devrait être la norme en 2018, ce n'est
architecture behave of tb_dff is malheureusement pas le cas. La fenêtre
principale de Quartus contient normale-
constant c_CLOCK_PERIOD : time := 20 ns; -- les constantes ment un éditeur de texte au milieu, une
-- améliorent la lisibilité fenêtre de messages (Message) sur le
bord inférieur et une fenêtre de tâches
signal r_clk : std_logic := ‘0’;
et de navigation (Tasks and Project-Na-
signal r_rst_n : std_logic := ‘0’;
vigator) sur le côté droit. Bien entendu,
signal r_enable : std_logic := ‘0’;
signal r_din : std_logic := ‘0’; l'arrangement des fenêtres est configu-
signal w_qout : std_logic; rable librement, et sous View " Utility
Windows, on peut retrouver les fenêtres
component d_ff is -- déclaration de composant perdues et découvrir les nouvelles. La
port ( fenêtre Tasks offre une bonne vue d'en-
clock : in std_logic; -- point-virgule, sauf au dernier semble des tâches nécessaires à la créa-
reset_n : in std_logic; tion d'un fichier de programmation. En
ena : in std_logic;
cliquant sur le petit symbole Play, on peut
d : in std_logic;
exécuter manuellement les différents pas
q : out std_logic -- pas de point-virgule
); -- point-virgule l'un après l'autre. Pour le débogage du
end component d_ff; circuit, l’étapes Analysis and Synthe-
begin sis suffit souvent au début ; elle per-
met d’économiser du temps lors de la
clk : process is -- processus sans liste de sensibilité rend recherche des erreurs de syntaxe. Le
-- l'instruction ‘wait’ indispensable RTL-Viewer, à chercher dans le réper-
begin toire NetlistViewers (on peut aussi l'at-
wait for c_CLOCK_PERIOD/2;
teindre par Tools " NetlistViewers " RTL
r_clk <= not r_clk;
Viewer), est d'une aide précieuse dans
end process clk;
l'apprentissage du langage VHDL. Cet
dut : d_ff -- instanciation de composant outil fournit une représentation graphique
-- affectation des signaux par Port Map de la manière dont Quartus interprète les
port map ( sources disponibles au niveau RTL (Regis-
clock => r_clk, -- virgules, sauf à la fin ter Transfer Level). Les figures 5 et 6
reset_n => r_rst_n, montrent les représentations graphiques
ena => r_enable, respectives des codes des listages 1 et 2.
d => r_din,
On voit ainsi immédiatement si et com-
q => w_qout -- pas de virgule
ment les éléments VHDL sont traduits
); -- point-virgule
en composants matériels.
process is
begin
r_rst_n <= ‘1’; Listage 4. Fichier .tcl de
r_din <= ‘0’; Modelsim.
wait for 40 ns; # Simulation Control Script
r_enable <= ‘1’; file delete -force work
r_din <= ‘1’; vlib work
wait for 40 ns; vmap work work
r_din <= ‘0’;
wait for 40 ns; puts "Compile DUT"
r_din <= ‘1’; vcom -2008 ../src/d_ff.vhd
wait for 40 ns;
r_din <= ‘0’; puts "Compile Testbench"
wait for 40 ns; vcom -2008 tb_dff.vhd
r_din <= ‘1’;
wait ; puts "Starting Simulation"
end process; vsim -t 10ns tb_dff
do wave.do
end behave; run 15 us

74 septembre/octobre 2018 www.elektormagazine.fr


q~reg0 Equal0
A[2..0]
a OUT
3'h1 B[2..0] =
d D b
d
c Equal1 0
clock CLK A[2..0]
Q q OUT 1'h1 1 d
3'h5 B[2..0] =
ena ENA
1'h0 Mux0
SCLR
CLRN SEL[2..0]
OUT
8'h9 DATA[7..0] e
reset_n

Figure 5. Résultat du listage 1 sur la Figure 6. Résultat du listage 2 sur la visionneuse RTL : nuage combinatoire.
visionneuse RTL : une bascule D avec
réarmement asynchrone.

Figure 7. La fenêtre Message de Quartus – reine des alertes.

Comme l'outil de synthèse ne peut pas choisi sous Assignments " Device " le « programme » (le fichier de confi-
savoir à quelles broches du CPLD sont Device and Pin Options " Unused Pins guration du CPLD) sur notre carte. Pour
raccordés le bouton-poussoir ou la LED, l'option As input tri stated. cela, on active le Programmer et on
il faut le préciser à Quartus. Le mieux, choisit l'option « USB-blaster » dans la
c'est de le faire après avoir exécuté Erreur, alerte critique, configuration matérielle. Si toutes les
une fois l'analyse, quand apparaissent simple alerte... et aide connexions sont correctes, un clic sur
dans la colonne de gauche du Pin-Plan- Dans Quartus, au premier clic sur le bou- Auto-Detect devrait afficher la mention
ner (Assignments " Pin Planner) tous ton Play (CTRL+L " Start Compilation), « EPM570T100 ». On choisit le fichier .pof
les signaux de niveau le plus élevé (Top une série de messages s'affiche dans le qui vient d'être créé et on appuie sur le
Level) utilisés dans le projet. À côté de Processing Tab de la fenêtre Message bouton Start, et voilà, c'est fait, la pre-
l'affection des signaux issus du code (ALT+3). Cette avalanche d'informations mière LED connectée au CPLD s'allume.
VHDL aux broches physiques du CPLD, peut décourager, mais pas de panique !
on peut encore choisir le niveau (IO Ces messages sont pour la plupart infor-
Level) des signaux dans une colonne matifs et peuvent être ignorés. Les sym- C'est fait !
supplémentaire. Pour les exemples de boles 'X', 'x' et '!' qui apparaissent au Ce petit projet d'initiation nous a mon-
cet article, nous avons choisi le réglage bord supérieur de la fenêtre Message tré comment, avec une pincée de logi-
par défaut de 3,3 V. L'éditeur d'assigna- (fig. 7) permettent de classer les mes- ciel, on arrive à insuffler un peu de vie
tion, Assignment-Editor (Assignments " sages en erreurs, alertes critiques (Cri- dans la petite puce CPLD. Certes, avec
Assignment Editor) est un autre moyen tical Warnings) et simples alertes (War- une simple LED clignotante, il n'y a pas
pour les affectations. Outre l'affectation nings). Les messages doivent être pris de quoi tomber de sa chaise, mais une
des signaux aux broches (Location- en compte et traités dans cet ordre, le fois que les bases sont acquises, rien
Assignments), il permet aussi de régler but étant qu'il ne reste aucune alerte ne s'oppose à une série de projets plai-
des fonctions particulières comme l'usage critique. Un projet sans aucune alerte sants et intéressants, au cours desquels
d'une résistance de rappel interne. C'est n'est guère réaliste. La page d'aide (F1) on apprendra bien d'autres choses sur le
précisément ce que nous faisons pour de Quartus fournit le plus souvent une langage VHDL.
le bouton-poussoir ; il se contente ainsi bonne explication des codes d'erreur et (180285/160674 –
d'une connexion à la masse, mais le d'alerte. Après l'élimination des erreurs version française : Helmut Müller)
niveau sur l’entrée est toujours défini. et l'exécution complète et réussie d'un
IMPORTANT ! Pour éviter d'endomma- Compile-Run, nous pouvons transférer
ger le matériel, il faut obligatoirement
préciser ce qu’il doit advenir des broches
Liens
(Device Pins) qui ne sont pas explici-
tement utilisées dans le projet. L'usage [1] « Carte CPLD au format DIL », Elektor 05-06/2018 :
par défaut de Quartus est de les mettre www.elektormagazine.fr/160425
à la masse, ce qui peut avoir des consé- [2] Page de cet article : www.elektormagazine.fr/160674
quences désastreuses pour certains cir-
[3] Feuille de caractéristique du MAX II : https://bit.ly/2ri1edP
cuits extérieurs. Par sécurité, nous avons

www.elektormagazine.fr septembre/octobre 2018 75


PROJET DE LECTEUR

variateurs pour LED (1)


analogiques, mais pas dénués d’intérêt…
Roel Arits

En août de l’année passée, le labo d’Elektor a organisé un petit concours : il s’agissait de concevoir un
variateur analogique pour LED. Les résultats ont été publiés dans le numéro de janvier/février [1]. Par
ses nombreuses propositions de circuits et l’éventail des techniques utilisées, Roel Arits nous est apparu
comme le roi incontesté du fondu lumineux à LED. Ses expériences font l’objet de cet article et d’un autre
dans le prochain numéro.

loi qui porte désormais leurs noms [2] : nos sens ne sont pas
Caractéristiques des capteurs linéaires, mais ils auraient plutôt une caractéris-
• Diverses possibilités de commande analogique de LED tique logarithmique. Ce n’est pas plus mal, car cela étend leur
• Composants standard gamme de perception ; nos yeux peuvent par exemple observer
• Invite à l’expérimentation... des magnitudes apparentes très différentes, de la pâle lumière
d’une lointaine étoile par une nuit sans lune à celle, éclatante,
du soleil sur une plage en plein été.
Lors du réglage de la luminosité d’une LED, ou d’une lampe Il est clair que cette caractéristique logarithmique – par approxi-
à incandescence, on observe un phénomène remarquable. mation – de nos yeux a des conséquences sur la manière dont
Lorsque le courant augmente linéairement – et peu importe nous faisons varier la luminosité d’une LED (nous ne parlerons
que le dispositif soit analogique ou numérique, on constate que plus des lampes à incandescence, qui sont désormais « hors-
la luminosité ne varie pas linéairement, loin de là ! Au début, jeu »). Si nous voulons percevoir une variation linéaire de la
elle semble augmenter rapidement, puis de plus en plus len- luminosité, le courant d’alimentation de la LED devra varier
tement au fur et à mesure que le courant continue à grimper. exponentiellement !
On pourrait l’exprimer autrement : pour accroître la luminosité
d’une LED déjà bien brillante, il faut augmenter le courant bien Petite digression : c’est aussi la raison pour laquelle on utilise
plus que pour une LED dont la luminosité est faible. des potentiomètres logarithmiques pour régler le volume de nos
amplificateurs. La caractéristique de sensibilité de nos oreilles,
Loi de Weber-Fechner tout comme celle de nos yeux, est à peu près logarithmique.
Pourquoi cette sensation ? C’est ce qu’ont étudié Weber et La figure 1 montre la variation apparente de la luminosité d’une
Fechner entre 1830 et 1860 et qui les a menés à formuler une LED lorsque le courant augmente et diminue linéairement. Sur

76 septembre/octobre 2018 www.elektormagazine.fr


I I

temps temps
variation linéaire du courant à travers la LED variation exponentielle du courant à travers la LED
l’œil humain perçoit une l’œil humain perçoit une
variation logarithmique de la luminosité variation linéaire de la luminosité

Figure 1. Lorsque le courant à travers la LED varie linéairement, nous Figure 2. Ici c’est l’inverse : si le courant varie exponentiellement, nous
percevons une variation logarithmique de la luminosité. percevons une variation linéaire de la luminosité

la figure 2, on observe une variation linéaire de la luminosité :


la variation du courant est quant à elle exponentielle.
symétrie

De la biologie à l’électronique
Pour régler la luminosité d’une LED, nous avons donc besoin

générateur ampli
d’un circuit qui, d’une manière ou d’une autre, fait fluctuer
triangulaire exponentiel
convertisseur
l’intensité du courant de telle sorte que nous percevions une
V V tension/courant
variation linéaire de cette luminosité, comme en figure 2. Un
t t
jeu d’enfant avec un microcontrôleur : on stocke en mémoire
un tableau de correspondance (lookup table) qui contient un
facteur de correction pour chaque valeur de la luminosité, et
le tour est joué ! Si le variateur est commandé par un poten-
tiomètre, nous aurons pour une modification donnée de sa
fréquence
position la modification correspondante de la luminosité.
Cependant le but du concours du labo était de réaliser un
montage analogique. Dès lors quelles sont les possibilités ?
La meilleure solution est de procéder de manière méthodique Figure 3. Le schéma fonctionnel général de nos variateurs analogiques.
(ce principe est valable pour la conception de n’importe quel
circuit). Nous commençons par établir un schéma fonctionnel.
Nous nous préoccuperons de remplir les blocs plus tard (bien LED) est réglée à l’aide d’un potentiomètre. Un second
que ce ne soit pas des tracas à proprement parler ; c’est à ce potentiomètre permet d’ajuster la symétrie du signal.
moment-là que ça devient vraiment amusant et instructif). • L’amplificateur exponentiel ou le circuit quadratique trans-
forme le signal linéaire en signal exponentiel. Pour l’ampli-
Schéma fonctionnel ficateur exponentiel, on peut par exemple utiliser la carac-
Le schéma fonctionnel est repris en figure 3. Un générateur téristique logarithmique d’une photorésistance (LDR) ;
de signal en dents de scie ou triangulaire, dont la fréquence et pour la seconde méthode, on mettra à profit la caractéris-
la symétrie sont réglables, constitue la base du montage. Un tique quadratique du courant de drain ID en fonction de la
variateur traditionnel est commandé manuellement à l’aide d’un tension grille-source VGS d’un transistor à effet de champ
potentiomètre, ici nous avons choisi d’automatiser le processus. (FET) en régime de saturation.
Le signal en dents de scie linéaire est ensuite transformé en • La conversion tension-courant peut se faire tout simple-
signal approximativement exponentiel par un amplificateur ment à l’aide d’une résistance en série avec la LED, ou
exponentiel (ou anti-logarithmique), ou par un circuit qua- – c’est beaucoup mieux – avec un miroir de courant ou
dratique, qui donne aussi une bonne approximation. Les deux une source de courant commandée en tension.
solutions sont présentées ci-après.
Enfin la tension qui augmente ou diminue de manière expo- Variateur alternatif
nentielle est convertie en courant pour commander la LED. La figure 4 montre la mise en œuvre des deux méthodes pour
That’s all, folks! l’obtention de la caractéristique de variation souhaitée. Dans
un cas nous utilisons une LDR, et dans l’autre un miroir de
• Il est possible de construire un générateur de signal en courant qui présente également une caractéristique quadra-
dents de scie avec deux amplis-op : un intégrateur et une tique en fonction de son réglage et des composants connexes.
bascule de Schmitt. La fréquence (c’est-à-dire le rythme Les deux tensions ainsi obtenues sont en opposition de phase
d’augmentation et de diminution de la luminosité de la (décalage de 180°), nous aurons un très bel effet : la lumino-

www.elektormagazine.fr septembre/octobre 2018 77


sité d’une LED augmente, celle de l’autre
Avertissement diminue, et vice-versa.
Les circuits décrits dans cet article sont des preuves de concept (proofs of con- Le générateur de signal en dents de scie
cept). Ils sont conçus pour des basses tensions, de l’ordre de 9 à 12 V, et pour la ou triangulaire est bâti autour des deux
commande de quelques LED. Ils ne sont pas du tout adaptés à la commande amplis-op IC1.A et IC1.B. Le premier
de lampes à LED, et encore moins à un raccordement au réseau électrique ! est configuré en bascule de Schmitt,
le second en intégrateur. Comme les
amplis-op sont alimentés par une seule
source (9 à 12 V), une référence de ten-
sion est nécessaire ; celle-ci est obte-
nue avec le diviseur résistif R5/R8,
+9V...+12V elle est égale à la moitié de la tension
d’alimentation.
La constante de temps de l’intégrateur
R1 IC1.B est déterminée par C1 et la com-
10k
binaison de P1 et R4. La valeur de C1
R5 frequency
R2 R3 P1 R4 C1
étant assez élevée, nous avons prévu un
10k 4k7 2k2
10k

100k 47u
suiveur de tension (T1 et T2) à la suite
16V T1
D1 d’IC1.B pour que le courant de charge
3
R6 4
1 P2 6 soit suffisant. La fréquence est réglée
IC1.A 1N4148 1k R7
2 7 BC548
100k IC1.B 100R A avec P1 entre 0,3 et 3,8 Hz, la symétrie
R8 symmetry 5 T2
D2 du triangle avec P2 ; R6 détermine les
11
10k

temps de montée et de descente mini-


1N4148 BC558 maux du signal triangulaire. Les réglages
de fréquence et de symétrie s’influencent
mutuellement, mais pas trop grâce à un
+9V...+12V
choix judicieux des valeurs de P1 et P2.
La sortie de l’intégrateur est rebouclée
R9 R10 R11
via R1 vers l’entrée non-inverseuse de
R12
10R

10R
10R

la bascule de Schmitt (IC1.A). La sortie


A 330R
2x de la bascule est également ramenée sur
D4 T3 BC558 T4
D3
I1 = son entrée non-inverseuse via R2 et R3.
1N4148 LED Cette contre-réaction positive ainsi que la
I1 I2 valeur de R1 déterminent le cycle d’hys-
R13 I2 =
T5 T6 D5
I3
térésis de part et d’autre de la tension de
LDR référence, et en fin de compte l’amplitude
2x
BC548 I3 = I1 – I2 =
du signal triangulaire.
R15 R16 R14
Lorsque la sortie de la bascule de Schmitt
470R
10R

10R

est à l’état haut, la tension de sortie


de l’intégrateur (monté en inverseur)
diminue, et donc celle sur l’entrée non-

+9V...+12V
inverseuse d’IC1.A aussi. Dès que cette
tension est inférieure à la tension de réfé-
rence fixée par R5 et R8, la sortie de
R17
la bascule passe à l’état bas et l’entrée
100R

T7
non-inverseuse suit via R2 et R3.
A1 La tension de sortie de l’intégrateur se
R18
T8 BC558 I1 = met alors à grimper parce que la sortie
330R

de la bascule est maintenant à l’état bas.


BC558
La tension appliquée sur l’entrée non-
T9 T10
I1 I2 =
I2
inverseuse de la bascule via R1 augmente
P3
2x
10k
à nouveau, jusqu’à atteindre la valeur de
multiturn BC548 I3
R20 R19 la tension de référence. La sortie de la
D6
D8 I3 = I1 – I2 =
2x
bascule repasse à l’état haut et le cycle
180R

10k

1N4148 LED recommence.


D7
L’amplitude du signal à la sortie du sui-
veur de tension est d’environ 6,5 V : le
signal varie entre 3 et 9,5 V. Le signal de
sortie (point A sur le schéma) est dirigé
vers l’entrée de l’amplificateur exponen-
Figure 4. Schéma du variateur alternatif. tiel et celle du circuit quadratique.

78 septembre/octobre 2018 www.elektormagazine.fr


Figure 5. Prototype du variateur alternatif

Amplificateur exponentiel
Il s’agit du circuit bâti autour des transistors T3 à T6. Pour Que la lumière soit !
transformer le signal linéaire en une bonne approximation d’un
signal exponentiel, on exploite la caractéristique logarithmique
d’une photorésistance au sulfure de cadmium (R13). La lumière
émise par LED2 entraîne une variation de la valeur de R13, ce I3 = I 1 − I 2 .
qui permet d’exploiter sa caractéristique logarithmique.
LED1 est identique à LED2 et en série avec celle-ci. Leurs carac- Les courbes de courant sont représentées sur la droite
téristiques étant identiques (type et couleur), on peut donc du schéma, celle du courant à travers les LED est bien
estimer que leur luminosité est aussi la même lorsqu’elles sont exponentielle.
traversées par un courant de même intensité (c’est le cas avec
le montage en série). LED2 et R13 sont encapsulées dans un Circuit quadratique
morceau de gaine thermorétractable afin d’éviter l’influence La paire de transistors T7 et T8 constitue une source de cou-
de la lumière ambiante. rant qui alimente LED3. L’intensité maximale de ce courant est
Comme c’est le courant à travers les LED qui doit être réglé d’environ 7 mA, ce qui détermine la luminosité maximale de la
et non la tension à leurs bornes, l’utilisation de miroirs de LED. Les transistors T9 et T10 forment un miroir de courant.
courant (T3/T4 et T5/T6) tombe presque sous le sens. Nous Deux diodes, D4 et D5, sont insérées en série avec l’émetteur
n’allons pas exposer ici la théorie de ces circuits, il suffit de de T9 en lieu et place d’une résistance ; le courant de collec-
savoir que le courant de collecteur d’un des deux transistors teur de T10 ne suivra donc plus linéairement celui de T9, mais
suit fidèlement le courant de collecteur de l’autre. Les deux bien avec une caractéristique quadratique. Le potentiomètre
transistors d’un même miroir doivent idéalement avoir des P3 combiné à R18, permet d’ajuster le courant de collecteur
caractéristiques identiques et être couplés thermiquement ; de T9 pour une extinction complète de LED3 au moment ad hoc.
pour notre circuit preuve de concept (proof of concept), ce La formule de calcul du courant à travers LED3 est identique
n’est pas très important. à celle du montage précédent :
Le courant de collecteur de T5, et donc de T6, est déterminé
par le signal triangulaire à l’entrée du circuit. L’unique fonction I3 = I 1 − I 2 .
de D3 est d’abaisser d’environ 0,7 V le niveau du signal ; le
courant peut alors devenir suffisamment faible pour éteindre Les courbes sur la droite des schémas montrent que les cou-
complètement les LED. rants à travers LED1 et LED3 sont bien déphasés de 180°. Nous
Le courant de collecteur de T3 et T4 est quant à lui déterminé obtiendrons le bel effet d’alternance recherché.
par le courant à travers R13 et R14. Ce courant varie de manière Le circuit complet sur une platine d’expérimentation est mon-
logarithmique, à cause de la caractéristique de la LDR, lorsque tré en figure 5. Il s’agit d’un montage expérimental, nous
la luminosité des LED varie linéairement. n’avons pas dessiné de circuit imprimé, ni pour ce circuit ni
Un autre avantage du travail avec des courants : ils s’addi- pour les suivants.
tionnent ou se soustraient à un nœud (c’est la première loi de
Kirchhoff, mais c’est une autre histoire…). Nous pouvons réa- Et maintenant avec des FET...
liser cette opération avec les courants de collecteur de T3 (I2) Fièvre de l’expérimentation, quand tu nous tiens ! Après avoir
et T6 (I1) et le courant à travers les LED (I3) : soumis son projet de variateur alternatif au labo, l’auteur a

www.elektormagazine.fr septembre/octobre 2018 79


+5V

D1
C1
LED
R1 R2 R3 R4 R5
100n

100k
470R

1k

1k

1k
R6
33k
T1 T2
T3

2x
BS170
BC558
R7 T4 R8 R9
C2
470R

100R

2k2
33u
16V
BC548

Figure 6. Schéma du variateur à PUT et MOSFET. Figure 7. Prototype du variateur à PUT et MOSFET.

repris de plus belle ses recherches et a décidé d’expérimenter pas linéaires. Avec les valeurs choisies pour les composants,
avec des FET, dont la caractéristique du courant de drain ID la période de ce signal est d’environ 3 s : 1,5 s de montée et
en fonction de la tension grille-source VGS en régime de satu- une durée identique de descente. L’amplitude est approxima-
ration est quadratique. Le schéma du circuit est en figure 6. tivement de 0,9 V, le signal oscillant entre 2 et 2,9 V.
Nous avons bien entendu toujours besoin d’un générateur de Les MOSFET T1 et T2 constituent un amplificateur différentiel,
signal triangulaire. Nous l’avons ici conçu avec un transistor dont une des entrées est attaquée par le signal aux bornes
unijonction programmable (PUT), un cousin du thyristor [3]. de C2 et l’autre se trouve à un potentiel fixe correspondant à
la tension de seuil VTH du BS170. Cette tension est d’environ
Le PUT est un composant à trois broches (anode, cathode et 2,7 V et est obtenue via le diviseur de tension R5/R9. Dès
gâchette) et on le trouve encore chez la plupart des fournis- que le transistor se trouve dans la zone saturée, la relation
seurs. Nous avons préféré lui substituer un circuit équivalent entre le courant de drain ID et la tension grille-source VGS est
à deux transistors bipolaires courants, un PNP (T3) et un NPN quadratique.
(T4). Les résistances R1 et R2 forment un diviseur de tension L’amplificateur différentiel amplifie la différence entre le signal
qui fixe la tension de déclenchement du PUT à la moitié de la triangulaire aux bornes de C2 et la tension de seuil : la tension
tension d’alimentation. Lors de la mise sous tension, le conden- triangulaire oscille autour de la tension de seuil. Le courant à
sateur C2 se charge lentement via la résistance R2. Dès que travers la LED varie suivant une caractéristique quadratique,
la tension à ses bornes atteint la tension de déclenchement ce qui donne à nos yeux l’impression d’une variation linéaire
du PUT plus 0,7 V, tension base-émetteur de T3, ce dernier de la luminosité.
commence à conduire et fournit un courant de base à T4, qui Modifier la valeur de R2 permet de changer la fréquence du
conduit à son tour. La tension sur la base de T3 va baisser cycle de charge et décharge de C2. Pour LED1, on choisira de
et rendre le transistor encore plus conducteur, augmenter le préférence un modèle à haut rendement, dont la luminosité est
courant de base de T4, etc. Les deux transistors renforcent déjà significative avec un faible courant. Le prototype du circuit
mutuellement leur état passant et leur courant de collecteur sur une platine d’expérimentation est montré en figure 7 (et
augmente jusqu’à saturation. toujours pas de circuit imprimé…).
Dès qu’il y a conduction, C2 se décharge via R6 et le PUT.
Lorsque la tension baisse en deçà du seuil de conduction de T3, À suivre...
les deux transistors du PUT se bloquent. Le condensateur C2 Nous espérons que ces expérimentations vous ont intéressé et
commence alors à se recharger via R2 et le cycle recommence. que vous avez attrapé le virus de l’électronique analogique !
Le processus se maintient tant que le circuit est alimenté. La Dans le prochain numéro, nous vous montrerons deux autres
tension aux bornes de C2 est un signal plus ou moins triangu- façons de faire varier la luminosité d’une LED.
laire, puisque les charges et décharges du condensateur ne sont (170404 – version française : Jean-Louis Mehren)

Liens
[1] Gagnants du concours de circuits de fondu lumineux à LED : www.elektormagazine.fr/160558
[2] Loi de Weber-Fechner : https://fr.wikipedia.org/wiki/Loi_de_Weber-Fechner
[3] Transistor unijonction programmable, PUT (en anglais) : https://en.wikipedia.org/wiki/Unijunction_transistor

80 septembre/octobre 2018 www.elektormagazine.fr


PROJET DE LECTEUR

alimentation fantôme
à condensateurs commutés
tension triplée avec deux circuits intégrés
Thomas Scherer

Pour connecter à un PC un microphone à large membrane, peu coûteux, mais de bonne qualité sonore, il faut
une alimentation fantôme qui délivre une tension supérieure à celle fournie par le PC. Pour une utilisation
avec l’application Skype, une alimentation fantôme indépendante sur le secteur ou l’USB est toutefois
exagérée et trop chère. Il vaut mieux réaliser soi-même une alimentation fantôme ! Et comme celle décrite
ici est toute petite, elle peut même s’intégrer à une carte son USB bon marché.

Typiquement, un PC ou un portable four- risque d’être insuffisante, ce qui donnera presque normales, mais encore insuffi-
nit sur l’entrée microphone de sa carte un niveau faible et un son dégradé. Ces santes, et puis j’avais besoin de la clé
son une alimentation fantôme de 3,3 V micros requièrent une tension nominale USB pour le casque.
sur une résistance de 2 à 3 kΩ, ce qui de 48 V, mais ils fonctionnent sans pro- Une recherche sur l’internet m’a mon-
suffit pour faire fonctionner des micros à blème à partir de 9 V sur 3,3 kΩ, ce qui tré que la plupart des micros à large
électret bon marché. Généralement cette ouvre des perspectives… membrane bon marché fonctionnent déjà
tension n’est pas assez élevée pour les parfaitement avec une alimentation fan-
micros à large membrane, l’amplification Point de départ tôme à partir de 9 V. Un test avec une
Pour Skype et d’autres applications, pile de 9 V sur 2,2 kΩ fut concluant. Je
j’avais décidé de me procurer un micro n’avais donc plus qu’à concevoir un petit
à large membrane bon marché (fig. 1) convertisseur de tension et tout irait bien.
afin d’obtenir une meilleure qualité
sonore que celle du micro intégré dans Réflexions
ma webcam Logitech. Même si le prix du Via l’USB, on ne dispose à l’extérieur d’un
micro était vraiment raisonnable (25 €), PC que de 5 V au maximum. Un conver-
cela devait constituer un progrès, car tisseur-élévateur de tension à inductance
je n’avais pas l’intention d’acquérir du produirait trop de signaux parasites sur
matériel professionnel dans ce but. À l’ar- la liaison audio et prendrait de la place.
rivée du micro, j’ai été impressionné par Finalement, mon idée fut d’incorporer
sa taille et son aspect rétro, mais son une alimentation fantôme dans une carte
niveau sonore laissait à désirer. J’en ai son externe peu coûteuse, qu’on peut
rapidement découvert la cause : mon PC acquérir pour moins de 5 € sur eBay
ne délivrait sur son entrée micro qu’une (fig. 2). Donc, la réalisation consiste-
tension à peine supérieure à 3 V sur 3 kΩ
environ, tension qui chutait à presque 2 V
sous la charge du micro. C’était insuffi-
sant. L’adaptateur d’impédance intégré
au micro atténuait de ce fait le signal
d’une bonne vingtaine de dB.
Une « carte son » externe spéciale,
sous la forme d’une clé USB, avec
entrée micro et sortie écouteurs pour
un casque de la marque Plantronics four-
Figure 1. Mon microphone à large membrane nissait presque 5 V, avec lesquels les Figure 2. On trouve ces cartes son USB
bon marché au look rétro. performances de mon micro devenaient compactes à vil prix.

www.elektormagazine.fr septembre/octobre 2018 81


ainsi la somme des tensions de l’alimen-
C5
tation et du condensateur, avec laquelle
2u2 on charge un condensateur tampon. Le
5 3
C+ C–
premier condensateur, partiellement
IC2
4 2 +15V déchargé, est reconnecté à la tension
IN OUT
MAX1683 d’alimentation et le cycle recommence.
GND
C4 C6 La différence entre le doubleur de tension
1

4u7 2u2
classique et la variante avec condensa-
L2
teurs commutés consiste seulement en ce
10uH
+10V
que cette dernière utilise des transistors
de commutation à la place des diodes,
C2
ce qui évite la perte de tension due à la
+15V R3 +OUT
4 5 tension de seuil des diodes, chose très
4u7 IN OUT 3k3
5 3 R1
C+ C– +10V IC3 +13V
appréciable quand on veut doubler des
+IN L1 IC1

3M3
TPS71501
4 2 petites tensions d’alimentation comme
IN OUT
10uH MAX1683 3
NC FB
1 le 5 V. Ce n’est certes pas un hasard
+5V GND GND R2
C1 C3 C7 C8 s’il existe pour cela des solutions toutes
1 2

330k
faites sous la forme de circuits intégrés
–IN 10u 4u7 2u2 4u7 –OUT
qui utilisent des FET comme transistors
de commutation et qui, à partir du 5 V,
vous donnent quasiment du 10 V, du
moins à vide.
Figure 3. L’emploi de circuits intégrés simplifie considérablement le circuit de l’alimentation
fantôme à condensateurs commutés.
Spécification du circuit
En principe, ces 10 V devraient suffire,
mais l’alimentation USB d’un PC est pol-
C1 C4 IC2
-IN

10
luée par des parasites numériques. Il en
C6

LISTE DES COMPOSANTS faut donc un peu plus pour se débarras-


C3

R2
C5

IC3
IC1

ser de ces parasites au moyen d’un petit


R1
L2

L1
C2

15
R3

C8 régulateur linéaire. En tenant compte


+IN

C7
+

+5 +13

d’une petite marge, il faudrait donc au


Figure 4. Le circuit imprimé est moins tripler la tension. On disposerait
particulièrement minuscule. alors de 15 V, tension suffisante pour
obtenir 13 V bien propres à la sortie du
régulateur. C’est suffisant pour 98%
des cas ; le courant consommé est
insignifiant.
La fréquence de commutation du circuit
intégré à utiliser doit se situer au-delà du
spectre acoustique pour que le résidu HF
soit facile à éliminer avec un filtre passe-
bas. De plus, ce circuit doit être de petite
taille, vu qu’il en faut deux, ainsi que le
régulateur de tension. Il s’agit donc de
CMS du plus petit format. Par bonheur,
rait en un générateur de signaux carrés Comme sur un doubleur de tension, on ça existe : le MAX1683 [2] travaille à une
suivi d’un multiplicateur de tension ou commence par charger un condensa- fréquence de plus de 30 kHz.
– plus élégant – un doubleur de ten- teur à la tension d’alimentation, puis on Malheureusement il ne supporte qu’une
sion [1] avec commutation de conden- amène son point bas (par exemple son tension maximale de 5,5 V, ce qui rend
sateurs. Le fonctionnement de ce dernier pôle moins) au point haut de l’alimenta- impossible un quadruplement de la ten-
est facile à expliquer. tion (c’est-à-dire son pôle plus). On fait sion à 20 V par une simple mise en série
des circuits. Mais grâce à une astuce de
connexion (suggérée par le fabricant),
il est possible de réaliser un tripleur de
Liens
tension qui fonctionne très bien et dont
[1] Page Wikipédia du doubleur de tension : la tension de sortie de 15 V est satis-
https://fr.wikipedia.org/wiki/Doubleur_de_tension faisante. Avec un régulateur de tension
[2] Doubleur de tension MAX1683 : https://bit.ly/2swBwDz à très basse puissance et à faible ten-
[3] Régulateur de tension TPS715 : https://bit.ly/2LnqGHg sion de chute, on obtient finalement une
minuscule alimentation fantôme de 13 V
[4] Page du projet : www.elektormagazine.fr/180165
propres.

82 septembre/octobre 2018 www.elektormagazine.fr


Connexion des trois circuits
intégrés
Le schéma est représenté sur la figure 3.
Après un premier filtrage par L1/C1,
les 5 V de l’USB arrivent sur l’entrée
du doubleur IC1. C2 est le condensa-
teur commuté qui charge en sortie C3
à 10 V. Comme on ne peut pas alimen-
ter le doubleur IC2 sous 10 V, la masse
d’IC2 est raccordée au +5 V et son Figure 5. Carte son USB avec les entrailles à Figure 6. L’alimentation fantôme insérée dans
entrée reçoit le 10 V de C3. IC2 n’est l’air. Il faut supprimer la résistance série R de la carte son USB et connectée.
l’alimentation fantôme normale de 3,3 V.
donc soumis qu’aux 5 V permis. Mais
comme on a 10 V aux bornes de C6,
de même qu’aux bornes de C3, et que
C6 est en série avec la tension d’entrée
de 5 V, on a bien 15 V entre la borne
positive de C6 et la masse. C’est l’as-
tuce du circuit.
Après un passage par le filtre passe-bas
de 12 dB/octave, constitué par L2 et C7,
le régulateur IC3 transforme les 15 V à
son entrée en 13 V stabilisés, avec un fil-
trage additionnel par C8. R3 est la résis-
tance série de l’alimentation fantôme.
Avec ses 3,3 kΩ, on devrait retrouver
environ 12 V sur le microphone.

Réalisation
Un schéma clair et des composants
miniatures m’ont permis de réaliser
un circuit imprimé particulièrement
petit (fig. 4). Avec ses dimensions
de 16 × 17 mm, il est le plus petit que
j’aie jamais réalisé. Il entre facilement
dans la clé USB de la figure 5. Pour pou-
voir utiliser la prise jaune, j’ai dessoudé
la résistance série R de l’alimentation
fantôme normale. Figure 7. Oscillogramme des signaux sur le condensateur commuté C5.
La figure 6 montre la clé USB avec le
circuit installé. On est là dans le vrai-
ment minuscule. Le soudage à la main
de résistances et de condensateurs au maintenant l’heureux possesseur d’une quence de commutation se trouve dans
format 0603 m’a causé relativement carte son externe bon marché, adaptée le domaine attendu. Avec une alimen-
peu de tracas. Les deux inductances aux microphones à large membrane, très tation qui débite réellement 5,1 V, on
au format 0805 sont déjà un peu plus compacte et équipée d’une alimentation obtient une tension de crête de 15,2 V,
maniables. Leur résistance ohmique est fantôme appropriée. donc dans le domaine de fonctionnement
sans importance ici. Il n’y a que le minus- normal. Voilà déjà plusieurs mois que ce
cule IC3 qui m’a donné un peu de fil à Compléments circuit remplit sa fonction en continu sans
retordre. Avec exactement 5,0 V à l’entrée, mon broncher. On n’a pas besoin de pilotes
circuit délivre 14,96 V en amont du régu- supplémentaires, car ils sont déjà inté-
Remarquons encore qu’il est préférable lateur de tension et 13,2 V à la sortie grés à Windows et OS X. Si vous vou-
de raccorder la masse au plus près de de celui-ci, avec le dimensionnement lez reproduire ce petit bricolage élec-
la prise du microphone (tresse blanche). indiqué. Le courant de repos s’éta- tronique, les fichiers de réalisation au
L’alimentation fantôme de 13 V est rac- blit à 350 µA seulement, ce qui rend la format Eagle 7 peuvent être téléchar-
cordée à la prise du micro par le fil vert. chose très économe en énergie. L’en- gés gratuitement sur la page Elektor du
Quand tout est câblé, procédez à un pre- semble résiste d’ailleurs au court-circuit : projet [4].
mier test : branchez la clé USB sur une le courant de court-circuit ne dépasse (180165 – version française : Helmut Müller)
alimentation de 5 V, vous devriez mesu- pas 10 mA. La figure 7 montre le signal
rer 13 V environ. de commutation sur le condensateur C5,
Ensuite il n’y a plus qu‘à remettre en ainsi que sur la broche 5 du doubleur
place le couvercle en plastique. Vous êtes de tension IC2. Avec 30,26 kHz, la fré-

www.elektormagazine.fr septembre/octobre 2018 83


PROJET DU LABO

shield SDR 2.0 d’Elektor (2)


transmission numérique de données en ondes courtes
Burkhard Kainka

Le shield SDR 2.0 présenté dans le précédent


numéro nous permet de recevoir tant
les stations de radiodiffusion que
le trafic amateurs. Il capte les
signaux BLU (à bande latérale
unique ou SSB) et les ondes
entretenues (CW), ainsi que
de nombreux signaux
numériques en utilisant
pour leur décodage
d’autres logiciels.

Ces derniers temps, on que les signaux sont


trouve dans plusieurs brièvement interrompus
bandes radioamateurs un toutes les quinze secondes.
domaine étroit particulièrement actif. Il s’agit du nouveau mode de
Il n’y a chaque fois qu’une seule bande passante BLU codage FT8.
utilisée, dans laquelle plusieurs stations émettent en même
temps (figure 1). Dans la bande des 20 m, près de 14 074 kHz, Décodons le FT8
on entend dans la BLU supérieure des sons étranges qui FT8 vient de modulation FSK8 Franke-
changent peu en se succédant vite. En outre, on remarque Taylor. Pour décoder, utilisons le programme

Figure 1. Signaux FT8 dans la bande des 20 m. Figure 2. Signaux FT8 dans leur grille de temps.

84 septembre/octobre 2018 www.elektormagazine.fr


WSJT-X dans sa version actuelle 1.8.0, disponible pour Win- spots que quand on s’est présenté sur Wsprnet. Les rapports
dows, Linux et Mac [2]. L’installation est facile. Les paramètres de réception sont alors enregistrés dans la banque de don-
décisifs se cachent dans un menu accessible par le bouton F2. nées. Sinon, restez plutôt anonymement à l’écoute. Mais il
Plusieurs modes sont disponibles avec WSJT-X, prenons donc est toujours intéressant de regarder sur la page de Wsprnet
ici FT8. Le logiciel affiche un spectre en particulier (figure 2). la position d’autres stations réceptrices.
On y reconnaît le signal FT8 à sa largeur de 50 Hz environ, sur
laquelle huit fréquences forment un signal FSK (modulation par
déplacement de fréquence). Chaque station couvre toujours
deux blocs d’émission de 15 s par minute. Dans l’intervalle,
l’autre station répond. Une liaison radio typique ne dure qu’à
peu près une minute.
Les messages sont décodés quand le niveau est moyen. Mais
il est indispensable que le temps du système soit absolument
précis. Quand il n’y a pas de décodage, le plus souvent, c’est
parce que l’horloge du PC n’est pas encore synchronisée. Dès
que les données s’affichent, l’écart de temps actuel DT est alors
présenté en fractions de seconde (figure 3).

Quand tout est bien réglé, installez-vous pour examiner en


toute quiétude qui cause à qui. Le rapport signal/bruit de votre
propre station en dB est particulièrement intéressant par rap-
port à ceux rapportés par les stations avec lesquelles vous êtes
en contact. On s’aperçoit ainsi de l’écart qui sépare encore sa
propre station de l’excellence. Cela tient surtout à la source Figure 3. Trafic radio en FT8 aux confins de l’Europe.
de réception qui peut présenter un haut niveau de parasites,
tandis que d’autres trouvent peut-être de meilleures conditions
s’ils disposent d’une antenne optimale.
Sans émetteur ni licence de radioamateur, on ne peut rien
faire d’autre que voir comment ce serait. On clique alors sur
une station qui lance une invitation CQ, un appel général. Le
logiciel prépare les cinq messages de type texte Tx1 à Tx5.
Quand tout est bien réglé, on appuie sur Enable TX et le signal
d’émission arrive sur haut-parleur. Avec le réglage de puis-
sance pwr, on peut choisir le niveau de puissance d’émission
d’un émetteur BLU. Avec une bonne ouïe, on reconnaît aussi
les huit fréquences voisines.

Décodage WSPR
La procédure de transmission WSPR (Weak Signal Propagation
Reporter, prononcer « whisper »), en français reporter de pro-
pagation de signal faible ou « chuchotement », a été développée
pour porter loin à basse puissance et sur une bande passante Figure 4. Diagramme en cascade de signaux WSPR.
étroite. Il y a sans cesse de nombreuses stations actives qui
communiquent les rapports de réception [3]. On obtient ainsi
une vue générale des lieux où le signal est reçu. En réalité, on
peut porter à 1 000 km avec seulement 10 mW. Avec 200 mW,
vous atteignez à l’aise toute l’Europe.
On peut aussi lire les signaux avec le logiciel WSJT-X. Ils sont
extrêmement étroits, d’une bande passante d’à peine 5 Hz et
couvrent en tout seulement 200 Hz (de 1 400 Hz à 1 600 Hz).
Le spectre de la figure 4 montre que l’étalonnage du récep-
teur est correct, ce qui représente un vrai défi.
Les stations reçues sont affichées avec la marge au bruit
atteinte, leur indicatif d’appel, la localisation, la puissance
d’émission et la distance en kilomètres. Il faut ajouter sa propre
position dans la configuration sous forme de locator QTH que
l’on peut retrouver par exemple sur [4]. Le locator pour la
position du récepteur à Essen était JO31MK.
Parmi les stations reçues (figure 5), bon nombre avaient une
puissance d’émission d’à peine 200 mW ou moins, et beau-
coup portaient à 100 km. On ne met la coche devant Upload Figure 5. Stations WSPR reçues.

www.elektormagazine.fr septembre/octobre 2018 85


L’exemple de la figure 6 montre où la station DJ5AM a été essais de réception, un premier étalonnage donnait encore un
reçue. Une comparaison des fréquences de réception atteste écart de 100 Hz qu’il a fallu corriger. On peut aussi s’intéres-
que mon affichage de 7 040 113 Hz est bien centré. L’étalon- ser aux différences de marge au bruit mesurées. En moyenne,
nage correspond bien à la précision atteignable. Mais, lors des d’autres récepteurs à des distances comparables avaient une
marge supérieure de 10 à 20 dB, preuve d’une meilleure ins-
tallation d’antenne avec moins de bruit de fond. Dans ce cas,
ce devait être un dipôle raccourci avec transformateur symé-
triseur, installé dans un jardin. Malgré tout, un peu de bruit à
large bande s’infiltre par l’entrée d’antenne.

Câble audio virtuel


De nombreux programmes développés pour les radioamateurs
sont destinés au récepteur BLU. D’habitude, pour la récep-
tion entre 300 Hz et 2 700 Hz, on règle le poste sur BLU et on
envoie le signal BF reçu à la carte son.
Le shield SDR a bien un connecteur pour la carte son, mais
cela n’en fait pas pour autant un vrai récepteur BLU. Il est
quand même utilisable à cette fin, deux possibilités s’offrent
à nous, une liaison directe ou un logiciel SDR tel que SDR#
ou G8JCFSDR.

Figure 6. Rapports d’écoute de nombreux récepteurs.


Le plus simple, c’est la liaison directe. On règle le VFO pour
que le signal utile soit dans la bande passante ci-dessus. Le
shield fournit alors directement le signal BLU souhaité, tou-
tefois flanqué de l’autre bande latérale. Le logiciel décodeur
n’utilise normalement pas de signal IQ, mais seulement un
signal monophonique. Souvent, cela fonctionne malgré tout
très bien du fait qu’il n’y a pratiquement aucun signal dans
l’autre bande latérale.

Parfois, mieux vaut se servir d’un programme SDR avec


entrée IQ et envoyer au décodeur le signal BF préparé. Mais
là, on est confronté à une nouvelle difficulté. En fait, comme la
carte son s’occupe déjà du shield SDR, il faut une autre carte
son ou un autre PC. Il existe une solution, celle d’un câble
audio virtuel tel que l’appareil VB-CABLE audio virtuel [5]. Il
vous donne un autre périphérique de sortie et remplace dans
le programme SDR l’habituelle sortie carte son par une entrée
câble. Dans le logiciel décodeur, choisissez alors Cable Output
à la place de l’entrée carte son.

Théoriquement, la réduction de moitié de la largeur de bande


Figure 7. Signaux WSPR en direct et par SDR#.
de bruit devrait donner une amélioration du signal de 3 dB.
La comparaison avec les signaux WSPR confirme précisément
cette différence. Parfois, la différence est encore plus nette,
s’il y a dans la bande latérale intruse d’autres signaux qui sont
alors atténués. Dans d’autres cas, on observe une forte dété-
rioration des signaux parce que les programmes impliqués ne
collaborent pas convenablement avec le câble virtuel.
En revanche, SDR# travaille bien avec WSPR2.0. La figure 7
illustre la différence. D’abord, le signal est reçu directement
de la carte son qui décèle des signaux FT8 perturbateurs dans
l’autre bande latérale. On commute alors sur le câble audio vir-
tuel, donc sur le signal BLU inférieur préparé. Ainsi, les signaux
voulus sont décodés sans parasites.
Si vous voulez travailler avec G8JCFSDR, n’utilisez pas l’accord
direct du VFO sur la fréquence BLU inférieure, parce que le
programme a sa fréquence intermédiaire de 12 kHz au milieu
du spectre. Mais vous pouvez appliquer le signal décodé de
la BLU inférieure à n’importe quel autre programme pour un
Figure 8. Une carte météo avec de petits défauts. traitement ultérieur.

86 septembre/octobre 2018 www.elektormagazine.fr


Modes numériques avec fldigi pond alors au débit binaire. Le signal provient de fldigi, qui
Les radioamateurs opèrent classiquement en CW et BLU. Le produit via la carte son les signaux d’émission également sans
radio télétype (RTTY ou telex) subsiste encore. Mais depuis lors, connexion à un émetteur.
différents modes numériques sont apparus. Plusieurs d’entre (180284 – version française : Robert Grignard)
eux peuvent être reçus avec fldigi, accessible gratuitement sur
internet [6]. Ce programme décode non seulement le CW et
la BLU, mais aussi le populaire PSK31, le radiofacsimilé (Wet-
terfax ou météofax) ainsi que de nombreux autres signaux.
Mais fldigi ne se cantonne pas aux radioamateurs. La figure 8
montre une expérience de réception d’une carte météo des
services allemands. Le résultat donne à voir qu’il faut ici aussi
la finesse de la syntonisation.

Il peut aussi lire la télégraphie en morse. Cela fonctionne bien


avec des signaux relativement forts. Avec des signaux morse
faibles, on s’aperçoit que l’homme peut encore être supérieur
à la machine, c’est suffisamment rare pour mériter de le men-
tionner. fldigi est pourtant encore une aide avec le morse à
haut débit ou pour les débutants. Le programme trouve tout
seul, après un moment, la vitesse de transmission en cours.
Durant les pauses d’émission, il cherche à extraire quelque
chose du bruit, avec les conséquences fantaisistes qui peuvent
Figure 9. Décodage de signaux morse.
en résulter. La figure 9 montre un exemple de décodage bien
lisible, mais par sections.

Le PSK31, très prisé, procède par déplacement de phase


à 31 bauds, ce qui correspond environ à la vitesse de frappe
au clavier. Comme le PSK31 ne prend une largeur de bande que
de 31 Hz, la fiabilité est encore meilleure qu’en CW, qui occupe
quelque 200 Hz. Avec pour résultat une liaison stable, même
par bruit intense et à faible puissance d’émission (figure 10).
Le PSK utilise la modulation par déplacement de phase (Phase
Shift Keying) et change la phase de 180° par transitions douces.
L’oscillogramme de la figure 11 montre que l’amplitude passe
par zéro à chaque saut de phase. La largeur de bande corres-

Liens
[1] « shield SDR 2.0 d’Elektor (1),
syntonisation et réception », Elektor 07-08/2018 : Figure 10. Décodage d’un signal PSK31.
www.elektormagazine.fr/160577
[2] https://physics.princeton.edu/pulsar/k1jt/wsjtx.html
[3] http://wsprnet.org/
[4] www.hb9eyz.ch/g_locator.php
[5] www.vb-audio.com/Cable/
[6] https://sourceforge.net/projects/fldigi/

@ www.elektor.fr
ªShield SDR 2.0 d’Elektor
www.elektor.fr/sdr-shield-2

Figure 11. Oscillogramme d’un signal PSK31.

www.elektormagazine.fr septembre/octobre 2018 87


PROJET DE LECTEUR

horloge nostalgique à LED


74xx around the clock

Michael Gaus et
Miguel Köhnlein

Ce projet décrit une horloge murale analogique (horloge de gare) équipée de 60 LED pour égrainer les
secondes. Pour les piloter, on se passe de microcontrôleur moderne, et on opte pour la vénérable logique
74xx. Mais malgré ces réminiscences, il est possible de commander de l’extérieur les 60 LED avec un
microcontrôleur ou un PC, et ainsi de réaliser toutes formes de motifs et effets lumineux.

88 septembre/octobre 2018 www.elektormagazine.fr


Des cavaliers permettent de sélection-
ner l’un de ces deux modes de fonc-
tionnement. En mode 1 avec contrôle
par 74xx, toutes les LED s’allument à
la mise sous tension. Chaque seconde,
une LED s’éteint après l’autre, de sorte
qu’au bout d’une minute toutes les LED
sont éteintes. Pendant la minute sui-
vante, les LED sont à nouveau allumées
l’une après l’autre toutes les secondes
jusqu’à ce qu’elles le soient toutes. Cet
effet est obtenu grâce à un inverseur
dans la boucle de retour de la chaîne de
registres à décalage. Comme le veut la
coutume, la base de temps est confiée
à un compteur binaire 4060.
Le mode 2 fait honneur au tribut des
temps modernes : les 60 LED peuvent Figure 1. Schéma interne et table de vérité du 74LS164, extraits du livre de spécifications de Texas
également être pilotées en externe, Instruments datant de 1974.
par ex. par un microcontrôleur ou un
PC, cela permet ainsi de créer tous
les motifs lumineux imaginables. Les réinitialisation au niveau bas de toutes des LED sont à zéro (toutes les LED sont
signaux CLK et DATA sont appliqués à les sorties. allumées). La dernière sortie, celle de
la chaîne de registres à décalage 74164 La structure interne du 74LS164 suggère la LED60, est connectée à l’entrée du
via le connecteur J1. Dans ce mode, il que l’on peut mettre en cascade plusieurs premier 74LS164 via un inverseur 7404
faut placer les cavaliers JP1 et JP2 en circuits intégrés de ce type afin de dis- (IC9A) et le cavalier JP1. On trouve donc
position 2-3 et retirer JP3. poser de plus de huit sorties. Pour cela, à l’entrée A/B de IC1 un niveau haut pour
il suffit de connecter la dernière sortie alimenter le registre à décalage. Au pro-
De très vieilles connaissances QH à l’entrée A/B du 74LS164 suivant. chain front montant d’horloge, ce niveau
Comme les circuits logiques 74 ne sont Toutes les entrées d’horloge (CLK) sont haut est décalé vers la sortie QA, de sorte
plus guère utilisés de nos jours, pour reliées ensemble et fonctionnent ainsi que la LED s’éteint. Cela continue et les
rappel (ou pour instruire les plus jeunes de manière synchrone ; idem pour les LED s’éteignent successivement durant la
électroniciens) jetons donc un coup entrées de remise à zéro (CLEAR). première minute. Ce n’est qu’au bout de
d’œil au composant principal de l’hor- Comme le montre le schéma de la 60 impulsions d’horloge, quand la sortie
loge à LED, à savoir le registre à déca- figure 2, l’horloge à LED utilise un total de la LED60 passe à l’état haut et que la
lage 74LS164. Celui-ci est apparu sur le de huit 74LS164 montés en série. On dernière LED s’éteint, que l’entrée A/B
marché dès le début des années 1970. obtient ainsi un gros registre à déca- de IC1 bascule à l’état bas ; à présent
La structure interne du circuit intégré lage avec une sortie à 64 bits. À chaque un niveau bas se propage donc pendant
(fig. 1) montre huit bascules, avec des 74LS164 sont connectées huit LED, à 60 s et les LED s’allument tout à tour.
lignes communes d’horloge et de réini- l’exception du dernier registre à décalage Les impulsions pour les secondes
tialisation. Les bascules sont connectées qui n’a que quatre LED à contrôler. Toutes sont confiées à la portion de circuit
en cascade : chaque entrée de donnée les LED sont connectées au +5 V via animée par IC10, un compteur binaire
d’une bascule est connectée à la sortie Q des résistances série de 1 kΩ, de sorte à 14 étages, le 74HC4060. Bien que
de la précédente. La sortie inverseuse de qu’elles s’illuminent pour un niveau bas ce composant porte une désignation
chaque bascule est dirigée vers l’exté- de la sortie correspondante du registre complètement différente, sa structure
rieur. L’utilisateur dispose au total de huit à décalage. Le registre à décalage est interne est similaire à celle du registre
sorties de données QA à QH en parallèle. piloté par un signal d’horloge à 1 Hz, via à décalage (fig. 3). Ce circuit comprend
L’entrée de donnée de la première bas- les entrées d’horloge reliées ensemble. des bascules dites flip-flop montées l’une
cule représente l’entrée de données série derrière l’autre. Sur ce type de bascule,
du système complet. L’entrée de donnée Impulsions d’horloge l’entrée de donnée et l’entrée d’horloge
comprend deux broches A et B reliées par avec un compteur binaire sont combinées. Chaque bascule change
une porte ET ; notez qu’elles sont reliées Considérons d’abord le « mode normal », d’état lorsqu’un front descendant
ensemble dans notre horloge. le mode 1. Les trois cavaliers sont tous apparaît sur son entrée. Le signal de
À chaque front montant sur l’entrée en position 1-2. Un réseau de remise à sortie est donc une forme d’onde égale
d’horloge CLK, les états logiques de zéro, composé de R65 et C6, veille qu’à à la moitié de la fréquence du signal
chaque sortie se décalent d’une position la mise sous tension, le registre à déca- d’horloge. Chaque bascule effectue une
vers QH. Dans le même temps, l’état de lage est réinitialisé grâce aux entrées division par deux. C’est pourquoi sur la
l’entrée est transmis sur la sortie QA. CLEAR reliées ensemble. Une pression 14e bascule, nous trouvons un signal dont
La table de vérité du registre à déca- sur la touche S1 réinitialise le registre à la fréquence est 1/214 de celle du signal
lage de la figure 1 montre également décalage manuellement. d’horloge (CLK).
qu’un niveau bas sur CLEAR provoque la Dans cet état initial, toutes les sorties Le compteur binaire 74HC4060 était

www.elektormagazine.fr septembre/octobre 2018 89


autrefois très populaire quand il s’agis- binaire du registre à décalage à l’aide Tensions
sait de créer une horloge battant la du cavalier JP2 et supprimer le retour Les circuits intégrés 74LS sont assez exi-
seconde. Il suffit de connecter un quartz via l’inverseur par JP1. Les deux cava- geants avec la tension d’alimentation et
de 32768 Hz aux broches de l’oscillateur liers sont alors placés en position 2-3. les niveaux des signaux qui doivent se
pour obtenir sur la sortie Q13 un signal En outre, il est possible (mais pas obli- situer dans la gamme de 4,75 à 5,25 V.
avec une fréquence de... non, malheu- gatoire) de retirer le cavalier JP3 si vous Le signal ne doit en aucun cas dépas-
reusement pas de 1 Hz, mais toujours souhaitez réinitialiser le microcontrôleur. ser la tension d’alimentation ! Tenez-en
de 32768/214 = 2 Hz. Une bascule D de En mode 2, les soixante LED sont compte, en particulier lors de la com-
type 7474 (IC11A) est ajoutée afin de contrôlées de manière externe. Les mande avec un PC, mais aussi pour les
parvenir à un signal de 1 Hz. Celle-ci signaux CLK et DATA (et en option microcontrôleurs qui ne sont pas alimen-
est également connectée en flip-flop, et aussi CLEAR) sont appliqués au registre tés en 5 V. Les niveaux de signal supé-
divise le signal par 2. Le signal à 1 Hz à décalage via le connecteur J1. Lors rieurs à 2 V sont détectés par la logique
résultant est envoyé sur les entrées de l’écriture du programme pour le LS comme des états hauts, les niveaux
d’horloge des registres à décalage via contrôleur, utilisez une interruption de inférieurs à 0,8 V comme des états bas.
le cavalier JP2. timer pour produire le signal d’horloge, La tension d’alimentation est produite par
en vous rappelant que le niveau DATA un régulateur 7805. Pour ce régulateur,
Les temps modernes doit être stable avant l’apparition du la tension d’entrée VIN doit être au
Si vous n’êtes pas nostalgique et que front montant d’horloge sur CLK. La moins de 8 V, mais ne devrait pas être
vous préférez commander l’horloge à LED vidéo [2] donne un petit avant-goût des trop élevée non plus (pas plus de 15 V),
avec un véritable microcontrôleur (ou effets lumineux rendus possibles par une ceci afin que la puissance dissipée par
un PC), il faut déconnecter le compteur commande externe. le régulateur ne soit pas trop excessive.

+5V
R49
R50
R51
R52
R53
R54
R55
R56

R57
R58
R59
R60
R10
R11
R12
R13
R14
R15
R16
R1
R2
R3
R4
R5
R6
R7
R8

R9

R17...R48 = 1k
1k
1k
1k
1k
1k
1k
1k
1k

1k
1k
1k
1k
1k
1k
1k
1k
1k
1k
1k
1k

1k
1k
1k
1k
1k
1k
1k
1k

LED49
LED50
LED51
LED52
LED53
LED54
LED55
LED56

LED57
LED58
LED59
LED60
LED10
LED11
LED12
LED13
LED14
LED15
LED16
LED1
LED2
LED3
LED4
LED5
LED6
LED7
LED8

LED9

LED17...LED48

All LEDS low-current

3 4 5 6 10 11 12 13 3 4 5 6 10 11 12 13 3 4 5 6 10 11 12 13 3 4 5 6 10 11 12 13
QG

QG

QG

QG
QA
QB
QC
QD

QH

QA
QB
QC
QD

QH

QA
QB
QC
QD

QH

QA
QB
QC
QD

QH
QE

QE

QE

QE
QF

QF

QF

QF
+5V
IC1 IC2 IC7 IC8
74LS164 74LS164 74LS164 74LS164
R65
CLK
CLR

CLK
CLR

CLK
CLR

CLK
CLR
A
B

A
B

A
B

A
B

1 2 8 9 1 2 8 9 1 2 8 9 1 2 8 9
10k

JP3 IC3...IC6 = 74LS164


2
1

IC9.A
C6 JP1
1 2 1
1
100n 2
3 74LS04 +5V
IC12
D1 7805 +5V +5V
14 14
C7 IC2...IC7 C14
C17 IC1 IC8
1N4004 100n C8...C13 100n
7 7
C5 C3 C4 100n

16
470u 100n 100n
VDD
C1 +5V
7 11
Q3 PI
5
Q4 10p
4 R61 14
Q5 IC10 X1
C15
J1 JP2 +5V 6 IC9
1 1 Q6
VIN 14 3 5 7 9 11 13 100n
15M

2 2 Q7 32.768kHz
DATA 13 9
3 3 4 1 Q8 PO R62 C2
CLK 15 10
4 PRE CLR Q9 PO 330k +5V
CLEAR 5 2 1
5 Q D Q11 10p
N.C. IC11.A 2 74HC4060
6 R64 R63 Q12
GND S1 6 3 3 12 14 10 11 12 13 C16
Q CLK Q13 RST
GND IC11
10k

10k

100n
RESET 74LS74 8 7

160625 - 11

Figure 2. Schéma de l’horloge TTL à 74xx.

90 septembre/octobre 2018 www.elektormagazine.fr


Un petit radiateur sera éventuellement
nécessaire pour IC12.
Le cadran de l’horloge a été perforé
de 60 trous pour le marquage des
secondes. Ensuite il suffit de bloquer
les LED insérées (elles ne traversent
pas en raison de leur collerette) à l’aide
d’une colle à deux composants (fig. 4).
Les cathodes sont reliées aux sorties
du registre à décalage par des fils de
cuivre émaillés. Les résistances série
sont soudées directement aux anodes,
Figure 3. Schéma interne du compteur binaire 74HC4060 (extrait d’une feuille de spécifications puis connectées ensemble par un fil en
récente de Philips Semiconductors). anneau au +5 V. Les circuits intégrés
du registre à décalage ont été implantés
sur des cartes à trous individuelles afin
de simplifier le câblage. Ainsi, seuls les
Liens signaux DATA et CLK doivent faire « le
[1] Vidéo 1 : www.youtube.com/watch?v=LTaV84mTj2w grand tour ».
(160625 – version française : Xavier Pfaff)
[2] Vidéo 2 : www.youtube.com/watch?v=UEWgwRypzHk

DANS L’E-CHOPPE
ªNouvelle horloge Nixie
pilotée par GPS
Projet d‘Elektor 05/2016 :
www.elektormagazine.fr/150189

Figure 4. Câblage des soixante diodes électroluminescentes et du registre à décalage.

www.elektormagazine.fr septembre/octobre 2018 91


hors circuits
modulations numériques :
codage des données
NRZ, Manchester & Co

Robert Lacoste (Chaville)

Dans le précédent article [1], je vous ai présenté les principales méthodes utilisées dans le grand monde des
modulations numériques. À cette occasion, j’ai écrit que les données devaient être « codées dans un format
adéquat » avant leur transmission. De quoi s’agit-il ? Pourquoi le codage est-il nécessaire ? Si la réponse
n’est pas évidente pour vous, ou si les acronymes NRZ, AMI ou MLT ne vous évoquent rien, alors il est temps
de lire cet article.

Pour simplifier, mon exemple reposera sur une transmission a été améliorée dans les années 60 par Gordon Bell pour l’or-
filaire. De plus, je supposerai que cette transmission n’utilise dinateur PDP (Digital Corporation) : le premier véritable UART
pas de modulation (on parle alors de transmission en « bande (Universal Asynchronous Receiver and Transmitter) était né !
de base »). Sachez cependant que tout ce que je vous pré- Une idée simple, mais encore utilisée sur tous les microcontrô-
senterai s’applique également aux communications sans fil ou leurs : il suffit de coder les données en octets (8 bits), et de
par courant porteur. transmettre chacun de ces bits l’un après l’autre sur une paire
de fils. Une tension spécifique est utilisée pour coder les ‘0’
Imaginons que vous ayez une paire de fils électriques reliant et une autre pour coder les ‘1’ (respectivement 0 V et 5 V en
deux bâtiments. Vous souhaitez l’utiliser pour transférer des TTL, +3 à 15 V et −3 à −15 V en RS232, etc.). Cette méthode
données le plus efficacement possible, avec bien sûr l’électro- de transmission, la plus triviale, est baptisée pompeusement
nique la plus simple possible. Si nous étions en 1840, vous NRZ (Non Return to Zero).
pourriez vous appuyer sur les travaux de Samuel F. B. Morse
et transformer vos données en traits et en points. Les incon- Les inconvénients du NRZ...
vénients du code Morse ? Ce n’est pas bien rapide. En outre la Tout semble donc simple, mais rien n’est parfait dans notre
durée d’émission d’un caractère est variable : c’est un avan- monde, et surtout pas les transmissions de données. Un UART,
tage pour un télégraphiste humain, mais c’est compliqué à utilisant un codage NRZ, fonctionne très bien tant que la liai-
réaliser en électronique. son est courte, que le débit est assez faible et que les pertur-
Le premier code à longueur fixe est le code Baudot, inventé bations sont peu nombreuses, mais il est inutilisable dans les
en 1874 par Jean-Maurice Émile Baudot. L’unité « Baud » autres cas. Pourquoi ? Parce que le signal est atténué lors de
(une information binaire par seconde) découle bien sûr de son la transmission, distordu par les imperfections du système,
patronyme. Ce fut un grand progrès pour l’automatisation, car perturbé par du bruit et plein de parasites (fig. 1). Le but
chaque caractère codé occupait toujours cinq bits. Cette idée du récepteur est de faire de son mieux pour récupérer les

92 septembre/octobre 2018 www.elektormagazine.fr


Distorsion
Bruit
Interférences

?
01011 Canal de 01011
Emetteur transmission Récepteur

Détecteur de bits

Signal reçu +
(analogique) Train de bits
- (numérique)

Comparateur

Figure 1. Tout récepteur contient un détecteur, qui doit décider si le bit reçu est un ‘0’ ou un ‘1’. En général, cette étape est réalisée en comparant la
tension reçue avec une tension moyenne. Ceci explique pourquoi un signal non équilibré peut causer des soucis.

bits transmis avec le moins d’erreurs possible. Je parlerai de Ces longues séquences se traduisent, dans le domaine des fré-
correction d’erreur dans un prochain article, mais au niveau quences, par un spectre de puissance descendant jusqu’au DC.
physique, tout récepteur doit déjà transformer le signal analo- Le problème est que ces basses fréquences vont perturber le
gique reçu en une suite de ‘0’ et de ‘1’. Cette phase, appelée détecteur. Rappelez-vous que celui-ci compare la tension du
détection, est loin d’être évidente à cause de la présence de signal à sa moyenne « à long terme ». S’il y a des basses fré-
perturbations. De manière schématique, un tel détecteur est quences, alors cette moyenne à long terme ne sera pas très
réalisé avec un comparateur de tension, câblé entre le signal stable, introduisant de la gigue dans le flux binaire détecté et
d’entrée et une moyenne de ce signal au fil du temps (fig. 1). finalement des erreurs de bits s’il y a du bruit sur la ligne. Pour
Un tel circuit est en fait un filtre passe-haut : toute dérive de prendre un exemple extrême, imaginez que vous transmettez
tension continue (DC) du signal est annulée, car le signal est une très longue séquence de ‘1’. Comment pouvez-vous être
comparé à sa moyenne, la détection des bits se faisant sur la sûr que le bit est un ‘0’ ou un ‘1’ s’il peut y avoir un décalage
base des variations rapides autour de celle-ci. Dans le domaine de la tension continue de la ligne ?
des liaisons sans fil c’est exactement la même chose lorsqu’on Une autre manière de voir ce problème : la valeur moyenne
utilise une modulation par déplacement de fréquence (FSK) : du signal sera exactement la moyenne des tensions utilisée
comme la fréquence centrale n’est pas parfaitement connue,
la détection est relative par rapport à une fréquence moyenne.

Quels sont alors les inconvénients d’un codage NRZ ? Le plus


simple pour le voir est de passer du domaine temporel au
domaine fréquentiel, c’est-à-dire d’effectuer une analyse de
spectre du signal. Pas de panique, ça va être limpide. Pour vous
expliquer ce qui se passe, j’ai codé un petit script de simulation
d’une modulation NRZ en utilisant Scilab. Cet outil de calcul
numérique est gratuit, et le code de mon exemple est disponible
en [2]. N’hésitez donc pas à l’expérimenter par vous-même.
En deux mots, j’ai simplement créé 4000 bits aléatoires, je
les ai codés en NRZ (−1 et +1 respectivement pour les ‘0’ et
les ‘1’). J’ai ensuite calculé le spectre de fréquence de ce signal
en utilisant ce qu’on appelle une transformée de Fourier rapide
(FFT). Le résultat est illustré sur la figure 2. Que voit-on sur
une telle simulation ? Tout d’abord, ce spectre n’est pas nul sur
la gauche du graphe, près de 0 Hz. Cela signifie que le signal
NRZ a des composantes à très basse fréquence, y compris
en DC, même si le flux binaire est assez long et si les bits ont Figure 2. La méthode de codage la plus simple est le NRZ, qui revient…
une probabilité égale d’être 0 ou 1. Pourquoi ? Simplement à ne rien faire. Malheureusement, le spectre de fréquence d’un tel signal
parce que dans n’importe quelle séquence de bits aléatoires, (graphe du bas) comporte de nombreuses composantes allant du courant
il y a des chaînes arbitrairement longues de zéros et de uns... continu jusqu’aux très hautes fréquences.

www.elektormagazine.fr septembre/octobre 2018 93


Figure 3. La forme du spectre d’un signal NRZ est définie par la fonction Figure 4. En NRZI, le signal transmis (au milieu) change d’état quand le
sin(x)/x, simplement parce que cette fonction est la transformée de bit d’entrée (en haut) est un ‘1’, et reste constant sinon. Le spectre est le
Fourier d’une impulsion rectangulaire. Comparez cette courbe avec celle même qu’en NRZ.
de la figure 2.

pour les ‘1’ et les ‘0’, mais uniquement s’il y a exactement Pour ceux que cela intéresse, on retrouve en fait cette fonc-
autant de zéros que de uns dans le flux de bits transmis. Ceci a tion sin(x)/x dans le spectre de tout signal numérique utilisant
malheureusement une probabilité très faible d’arriver. Si vous des bits de durée fixe, car cette fonction est la transformée de
n’en êtes pas convaincu, lancez donc cent fois une pièce de Fourier d’une impulsion rectangulaire (fig. 3).
monnaie en l’air et essayez d’obtenir exactement 50 fois pile Un dernier commentaire sur la figure 2 : le spectre de fré-
et 50 fois face… De là un détecteur NRZ peut se « tromper » quence, en forme de sin(x)/x donc, reste très élevé sur la par-
en présence de bruit, et ce d’autant plus facilement que le flux tie droite du graphe, c’est-à-dire dans les hautes fréquences.
de bits transmis n’est pas équilibré en termes de ‘0’ et de ‘1’. Ceci implique soit que le câble utilisé devra être de très bonne
qualité, car il devra avoir une bande passante élevée, soit qu’il
Je vous présenterai quelques méthodes de codage alternatives faudra limiter le débit de la liaison. Cela signifie aussi d’éven-
dans une minute, mais regardons encore le spectre de fréquence tuels problèmes de compatibilité électromagnétique.
du signal NRZ (fig. 2). Sa forme ne vous rappelle rien ? Si vous
êtes un lecteur régulier de cette rubrique, ce devrait être le En résumé : vous aurez compris qu’un codage comme le NRZ
cas. Il s’agit en effet de la fonction sinus cardinal, ou sin(x)/x, est très simple à réaliser, c’est ce que fait un « bête » UART. Par
déjà rencontrée plusieurs fois, et pour une très bonne raison. contre son spectre a des composantes très fortes en fréquences

Figure 5. Voici un exemple de codage Manchester. Chaque bit est codé Figure 6. Un exemple de codage Manchester différentiel, avec l’avantage
en deux bits successifs, « 01 » ou « 10 » selon le bit à transmettre. Il par rapport au Manchester d’une indépendance par rapport à la polarité
n’y a plus de composantes à basse fréquence sur le spectre, par contre du signal.
des fréquences plus élevées sont utilisées, car le débit binaire est en fait
doublé.

94 septembre/octobre 2018 www.elektormagazine.fr


Figure 7. Un codage de Miller, beaucoup plus efficace sur le plan spectral. Figure 8. Le code AMI est un code ternaire, utilisant trois tensions de
ligne différentes. Les ‘0’ sont transmis avec une tension de 0 V, et les ‘1’
sont transmis successivement sous forme d’impulsions positives ou
négatives. Le spectre ressemble à celui d’un signal Manchester, mais deux
fois plus compact.

basses, ce qui implique que la transmission sera sensible aux et un ‘0’ est codé « 10 ». Ceci double le débit binaire utilisé,
perturbations, en particulier s’il peut y avoir dans les données mais garantit évidemment qu’il y a autant de ‘0’ que de ‘1’
de longues séquences de ‘0’ ou de ‘1’. Ce spectre se prolonge sur le flux transmis. De plus, il y a au moins une transition au
également vers les fréquences hautes, ce qui implique que la milieu de chaque bit transmis, ce qui facilite la récupération
bande passante utilisée sera relativement élevée. Existe-t-il de l’horloge. Regardez à nouveau la figure 5, l’amélioration
des méthodes de codage plus astucieuses que NRZ, qui rédui- est très visible sur le spectre des fréquences : avec le codage
raient le spectre de fréquence utilisé à la fois dans les basses Manchester, il n’y a plus de composantes DC ou basse fré-
fréquences et les hautes fréquences et ce pour le même débit quence. Le codage Manchester est employé aussi bien pour
binaire réel ? Bien sûr, et ceci permettra soit d’augmenter le des liaisons sans fil de type télécommandes que pour des
débit binaire, soit d’augmenter la portée, soit d’utiliser du liaisons filaires. Il est par exemple utilisé par Ethernet dans
câble moins cher. sa variante 10BT (10 Mbps).

D’autres méthodes ? Vous pourrez également rencontrer une variante de Manches-


Essayons de trouver de meilleures solutions. La première ter : le codage Manchester différentiel, aussi appelé « Biphase
méthode alternative est baptisée NRZI (fig. 4). Le « I » signi- Mark Code » (BMC). C’est une sorte de mélange entre NRZI
fie « Inversé ». C’est en fait presque la même solution que et Manchester : chaque bit est codé par une succession de
le NRZ, mais avec un petit changement subtil. Ici, le niveau deux états logiques comme en Manchester, ce qui donne deux
transmis change d’état lorsque le bit à transmettre est un ‘1’, transitions possibles par bit. L’une des transitions, par exemple
et reste constant si c’est un ‘0’. Comme le montre la figure 4, au milieu du bit, est utilisée comme référence d’horloge et est
le spectre d’un signal NRZI est presque identique au signal toujours présente. La deuxième transition, au début du bit,
NRZ de base. Il n’y a donc pas de réel avantage sur le plan n’est présente que si le bit à transmettre est un zéro (fig. 6).
de l’occupation spectrale. Néanmoins le codage NRZI apporte Le spectre est le même qu’en Manchester, mais, comme en
deux bénéfices. Tout d’abord, le NRZI est un code différentiel, NRZI, la transmission est indépendante de la polarité du signal.
c’est-à-dire qu’il ne dépend pas de la polarité du signal : si De plus, la présence systématique d’une transition au milieu de
vous inversez la polarité de l’entrée, les bits sont toujours bien chaque bit facilite la synchronisation du récepteur. Ce codage
décodés. Seule la présence ou pas d’une transition est impor- Manchester différentiel est utilisé par exemple pour les liai-
tante, pas la polarité du signal. Second avantage, il évite les sons S/PDIF.
longues chaînes de ‘1’, ce qui facilite la vie du récepteur. Le
problème des longues séquences de ‘0’ reste cependant tou- Plus de performances ?
jours présent, ce qui impose d’autres astuces. Par exemple, Continuons. Pour améliorer encore les choses, il faudrait pouvoir
une variante de NRZI est utilisée pour l’USB : un bit ‘0’ sup- transmettre la même quantité d’informations, mais en utilisant
plémentaire est inséré après six bits à ‘1’ consécutifs, ce qui un canal plus étroit, c’est-à-dire un spectre plus réduit. Une
permet d’éviter de longues chaînes de ‘0’ comme de ‘1’. des solutions est le codage de Miller. Il est facile à mettre en
œuvre : commencez avec un code Manchester, et supprimez
Une autre méthode très utilisée pour éviter tout déséquilibre une transition toutes les deux transitions. Vous obtiendrez un
entre les ‘0’ et les ‘1’ est le codage Manchester (fig. 5). signal comme celui représenté sur la figure 7. Regardez en
Son principe est le suivant : chaque bit est codé sous la détail, vous verrez qu’il reste toujours une transition au milieu
forme d’une séquence de deux bits. Un ‘1’ est codé « 01 » d’un bit ‘1’, mais jamais au milieu d’un bit ‘0’. Il y a aussi une

www.elektormagazine.fr septembre/octobre 2018 95


Figure 9. Le codage MLT-3, utilisé par exemple en Ethernet 100 Mbps, Figure 10. Les codes par symboles, comme la méthode 4B5B illustrée ici,
permet de réduire considérablement le spectre grâce à une méthode de sont habituellement utilisés en plus d’autres méthodes pour améliorer
codage intelligente à trois niveaux. leur efficacité. Quatre bits successifs sont codés sur cinq bits, à travers
un dictionnaire.

transition à la fin d’un bit ‘0’, mais seulement s’il est suivi d’un DC, ainsi qu’un joli spectre en fréquence. Gros inconvénient
autre ‘0’. L’occupation spectrale est franchement améliorée, par contre : il est impossible de synchroniser le récepteur si
cela devrait vous sauter aux yeux en comparant les figures 5 le signal ne contient que des ‘0’. Ce n’était pas un problème
et 7... Une variante de ce codage de Miller est utilisée par pour le transfert de signaux vocaux, car il y a toujours du
exemple pour les systèmes RFID de type EPC GEN2. bruit dans les données, mais c’est plus problématique pour
des signaux numériques.
Une autre famille de solutions ? Les codes « Alternate Mark
Inversion » (AMI) étaient populaires dans les télécoms il y Une variante encore plus efficace est le codage MLT-3 (fig. 9).
a quelques années (lignes T1, etc.). Ici, on n’utilise non plus MLT signifie « Multi-Level Transmit », car il y a aussi trois ten-
deux, mais trois tensions différentes sur la ligne : une tension sions possibles sur la ligne (positive, nulle, négative), comme
positive, une tension nulle et une tension négative. L’idée est en AMI. Cependant, le principe est différent : si le bit à trans-
la suivante : un ‘0’ est toujours codé avec une tension nulle, mettre est un ‘0’, l’état de la ligne ne change pas. Si le bit est
et un ‘1’ est codé alternativement avec une impulsion de un ‘1’, la tension de ligne saute à l’état suivant de façon circu-
tension positive ou négative (fig. 8). Bien sûr, cela permet laire : +V, 0, −V, 0, +V, etc. Le signal de sortie est donc plus
d’avoir une tension moyenne nulle, donc pas de composante ou moins sinusoïdal, ce qui donne un spectre tassé dans les

Références et sources
[1] « modulations numériques : le BA-B.A. - GMSK, OQPSK, QAM, OFDM & Cie », Elektor 07-08/2018 :
www.elektormagazine.fr/170009
[2] Page de l’article : www.elektormagazine.fr/180370-01
[3] Emile Baudot, Wikipedia : http://en.wikipedia.org/wiki/%C3%89mile_Baudot
[4] « Universal Asynchronous Receiver/Transmitter », Wikipedia :
http://en.wikipedia.org/wiki/Universal_asynchronous_receiver/transmitter
[5] « Oral history of Gordon Bell », Computer history museum :
http://www.computerhistory.org/collections/accession/102702036
[6] « CIS 677: Introduction to computer networking », chap. 4.2 « Digital data, digital signal », Eitan Gurari,
Ohio state university : http://www.cse.ohio-state.edu/~gurari/course/cis677/cis677Se12.html
[7] « Wireless Digital Communications : Design and Theory », Tom McDermott, N5EG,
Tucson Amateur Packet Radio Corporation, ISBN 0-9644707-2-1
[8] « Telecommunications technologies – Line coding », Maciej Ogorzałek, PolyU, EIE :
http://www.eie.polyu.edu.hk/~maciej/pdf/week5.pdf
[9] « Delay codes », Wikipedia : http://en.wikipedia.org/wiki/Delay_encoding
[10] « 8B10 encoding », Wikipedia : http://en.wikipedia.org/wiki/8b/10b_encoding
[11] Scilab : www.scilab.org

96 septembre/octobre 2018 www.elektormagazine.fr


basses fréquences comme illustré sur la figure 9. Vous avez comme le codage NRZ peuvent être suffisantes si les liaisons
sûrement déjà utilisé sans le savoir le codage MLT-3, car il est sont courtes et les débits faibles. Toutefois il est toujours
à la base de la variante 100BT d’Ethernet. intéressant de connaître des solutions alternatives, plus effi-
caces… Encore une fois, tout ce que je vous ai présenté est
Enfin, une autre façon d’optimiser l’occupation spectrale d’un aussi applicable dans le cas de transmissions modulées, typi-
signal, souvent en complément des solutions précédentes, est quement pour des liaisons sans fil. À titre d’exemple, je me
de travailler non pas au niveau des bits, mais aussi au niveau souviens avoir été très déçu la première fois que j’ai essayé
de symboles regroupant plusieurs bits. Par exemple, vous de créer mon premier projet « sans fil ». J’avais acheté une
pouvez grouper les bits à transmettre 4 par 4, et convertir paire de petits modules émetteur et récepteur ; j’ai tenté
chaque symbole de 4 bits en une séquence de 5 bits selon une d’envoyer des ‘0’ et des ‘1’ avec un microcontrôleur. Évi-
table prédéfinie. En choisissant astucieusement cette table, demment j’avais utilisé l’UART standard, donc du NRZ. Cela
ce transcodage, appelé 4B5B (fig. 10), permet de s’assurer fonctionnait plus ou moins, mais avec une portée ridicule de
qu’il y a des transitions dans le signal même si les bits d’en- quelques mètres. Après lecture de la documentation de ces
trée sont tous des zéros ou des uns. De plus, grâce à un bon modules, j’ai compris qu’ils ne supportaient pas du tout des
choix des seize mots de 5 bits (un pour chaque combinai- séquences non parfaitement équilibrées de ‘1’ et ‘0’. Un peu
son des 4 bits d’entrée), le spectre transmis peut être opti- de code pour mettre en œuvre un codage Manchester a per-
misé. Par exemple, l’Ethernet 100BT utilise un codage 4B5B mis de multiplier la portée par vingt !
avant le codage MLT-3. Des variantes avec des mots plus
longs comme 8B10B ont été introduites par IBM au début des Dans le prochain article, je continuerai dans le même esprit en
années 70, et sont encore plus performantes bien sûr. 8B10B vous parlant d’une technique complémentaire : le filtrage de
est maintenant utilisé partout, des disquettes des antiques canal. D’ici là, n’hésitez pas à télécharger les petites simula-
Apple II aux bus PCI-Express, de Firewire à SATA, DVI ou tions Scilab qui accompagnent cet article et jouez avec, c’est
HDMI, mais aussi pour le Gigabit-Ethernet et l’USB 3.0. Évi- la meilleure solution pour comprendre ce qu’il se passe.
demment on peut continuer, par exemple l’Ethernet à 10 Gbps (180370-01)
utilise un codage 64B66B.
Cet article a été publié dans la revue Circuit Cellar (n°255, décembre 2011).
Pour conclure
Comme vous l’avez vu, il y a des tas de manières pour trans-
mettre un signal numérique. Les solutions les plus simples

Publicité

Où trouver Elektor près de chez vous ?


Si vous cherchez où acheter votre magazine Elektor,
nous vous aidons à le trouver facilement.

Rendez-vous sur le site www.elektormagazine.fr,


cliquez sur l’onglet MAGAZINE, puis sur Elektor en kiosque.

Ensuite indiquez votre code postal,


puis cliquez sur TROUVER.
Vous verrez apparaître une carte avec des repères chiffrés qui renvoient chacun à un
des points de vente énumérés. Vous connaîtrez aussitôt l’état du stock chez ces différents
revendeurs : magazine disponible ou épuisé.
Survolez la carte pour découvrir les jours et horaires d’ouverture de chaque magasin.
Il ne reste qu’à choisir le plus commode pour y acheter votre magazine.
Ce moteur indique également la date de réouverture des points de vente fermés au
moment de votre recherche.

Toutes ces informations, fournies par notre diffuseur (Messageries de Presse Lyonnaises), sont mises à jour
quotidiennement et proviennent des 14.000 magasins informatisés de son réseau.

www.elektormagazine.fr septembre/octobre 2018 97


PROJET DE LECTEUR

détecteur de pluie
qui sort de l’ordinaire

Reinier Ott

Le climat change ; tout le monde en parle, mais on ne fait pas


grand-chose. Il y a de nombreux projets locaux, mais leur
impact est très limité, hélas ! C’est au niveau mondial qu’il
faudrait intervenir, mais on est loin du compte, et les effets du
réchauffement sont d’ores et déjà là !

Une des conséquences est l’augmentation notoire des fortes pluies et des
orages – avec des trombes d’eau. Les quantités de précipitations dépassent
alors les 25 mm par mètre carré et par heure ; cela peut sembler peu, mais
il s’agit bien d’un volume de 25 l, ce qui n’est pas rien !
Une telle averse peut occasionner pas mal de dégâts, surtout si vous avez
laissé une fenêtre ouverte en quittant la maison. La réalisation d’un détec-
teur de pluie qui déclenchera une fermeture automatique des fenêtres se
justifie donc.

Projet de domotique
Le détecteur décrit ici fait partie d’un projet plus ambitieux : la régulation,
intelligente et économique, de la température d’une chambre à coucher, avec
ouverture/fermeture de fenêtre, et mise en marche ou arrêt d’un climatiseur.
L’ensemble est trop long à décrire dans un seul article, mais nous pourrons
y revenir si cela suscite de l’intérêt de la part des lecteurs.

Principe
Il existe plusieurs types de pluviomètres : p. ex. optique, où on compte les
gouttes avec un détecteur, ou à auget basculeur, une sorte de petite balan-
çoire ; si notre mémoire est bonne, un tel appareil a été décrit dans le maga-
zine Elex, petit frère d’Elektor disparu depuis longtemps. Ces pluviomètres

98 septembre/octobre 2018 www.elektormagazine.fr


www.elektormagazine.fr septembre/octobre 2018 99
de pièces mécaniques.
Caractéristiques On pourrait utiliser un détecteur capacitif : la capacité du cap-
• détection fiable des averses teur change lorsque sa surface devient humide. Cependant la
• détecte aussi la grêle condensation peut perturber le bon fonctionnement de l’ap-
• efficace lorsque le temps est chaud pareil ; pour l’éviter, on peut chauffer la surface du capteur,
• pas de parties mobiles mais ça complique sérieusement le circuit. Nous voulons aussi
• transmission sans fil associer un capteur de température au détecteur, et le chauf-
• pas d’entretien nécessaire fage rendrait les mesures correctes délicates.
Nous avons choisi une méthode basée sur la mesure différen-
tielle de la température de deux capteurs, l’un des deux étant
ont en commun qu’ils nécessitent de la mécanique de précision. protégé de la pluie et l’autre recevant l’eau de pluie récoltée
La plupart des électroniciens ont une sainte horreur de la méca- par un entonnoir. Si le temps est sec, les deux capteurs seront
nique, même depuis l’avènement des imprimantes 3D, et sans à la même température. En cas de pluie, la température du
parler du risque de panne par manque d’entretien ou autre ! capteur arrosé baissera : les gouttes de pluie sont plus froides
Comme nous ne souhaitons pas réaliser ici un appareil de que l’air ambiant, c’est du moins le cas lors d’une averse par
mesure pour une station météo – la détection de fortes pluies une chaude journée ou nuit d’été ; par ailleurs, l’évaporation de
et d’averses est tout ce dont nous avons besoin, nous utili- l’humidité à la surface du capteur diminuera aussi sa tempéra-
sons un principe tout à fait différent, et il n’y a presque pas ture par absorption de calories. La différence de température

+5V +3V +5V +5V

D5
WARM COLD

ºC
(NORMAL) (RAIN) 1N4148 R3 R4 C5
C13
8
R13 R15
100n

4k7

4k7
-T -T 2 5 VDD
NTC NTC 100n 3 7
1 7 T A0
2x NTC833 RG REF SCL 2 IC3 6
( ≈ 100k; 20ºC) R10 V+ SCL A1
R16 SDA 1 DS1621 5
IC5 6 SDA A2
VO 270R
INA114BP TEMPERATURE
GND
10k 8 V– 4
R12 R14 RG +5V
3 4

1% 1%
91k1

91k1

C14 R9 C15 C16


C8
R7
330n 5k 330n 1u
100n

10k
14
VDD D3
18 4
+3V RA1/AN1 RA5/MCLR/VPP
17 3
RA0/AN0 RA4/AN4/T0CKIC2OUT 1N4148
IC2 RA3/AN3/C1OUT/VREF+
2
6 1
RB0/INT/CCP1 RA2/AN2/CVREF/VREF–
C12 7
RB1/SDI/SDA J3
ANT1 8 PIC16F88 6
RB2/SDO/RX/DT
100n R1 9 13 PGD 5
RB3/PGM/CCP1 RB7/AN6/PGD/T1OSI
4 10 12 PGC 4
RB4/SCK/SCL RB6/AN5/PGC/T1OSO/T1CKI
680R

+3V 11 3
RB5/SS/TX/CK
MCLR 2
VSS RA7/OSC1 RA6/OSC2
1 3V R2 1
ANT SIG 5 16 15
TX MODULE X1
ICD
TX1 R5
1k

GND GND AUREL D4


2 3 (868MHz) 19.6608MHz
1k

C10 C11 C6

15p 15p 330n

+9V...+12V +5V +3V


IC1 IC4
D2 D1 7805 JP1 LM317LZ

R8
2x 1N4007 ADJ
J1
240R

1 R11
C1 C2 C3 C17 C4 C7 C9

2 R6
5V 470u 100n 100n 10u 10u 100n 10u
25V 16V 16V 16V
330R

F1

100mA T 170494 - 11

Figure 1. Schéma du détecteur de pluie. L’amplificateur de mesure IC5 et les quelques composants connexes sont les plus importants.

100 septembre/octobre 2018 www.elektormagazine.fr


entonnoir de collecte
peut être mesurée et utilisée à diverses fins. Notez également
que la condensation n’a pas d’influence néfaste, les deux cap- (au-dessus de la NTC Tpluie)
teurs y étant soumis de la même manière.
Deux signaux, à savoir la détection de pluie ainsi que la tem- auvent
pérature extérieure mesurée, sont envoyés, pour traitement
ultérieur, par une liaison sans fil vers une unité centrale à l’in-
térieur de la maison.

Tpluie
Schéma
Le schéma de l’électronique du circuit est repris en figure 1. Tsec capteur de température
L’alimentation du montage est confiée à un adaptateur secteur numérique
qui fournit une tension continue de 9 V. Comme la liaison entre
la maison et le détecteur peut être assez longue, une protection
contre les parasites (surtout en cas d’orage) est nécessaire.
Nous avons prévu une triple protection :

• deux diodes 1N4007 (tension maximale de 1 000 V) en


série avec l’entrée ;
Figure 2. Exemple de montage de l’ensemble.
• une varistance avec une tension de seuil de 18 V
continus ;
• un fusible temporisé de 100 mA. Réalisation pratique
La figure 2 nous donne un aperçu du détecteur réalisé par
Le reste de la partie alimentation est classique, avec un régula- l’auteur avec une imprimante 3D. Le boîtier contenant l’élec-
teur de 5 V de type 7805, et un régulateur de type LM317 réglé tronique est légèrement incliné, et un entonnoir dirige la pluie
pour fournir les 3 V nécessaires au module de transmission. vers une des résistances NTC. Le tout est placé sous un auvent,
Le capteur de température externe, en haut à droite sur le pour que la seconde résistance NTC reste au sec et qu’il n’y
schéma, est un DS1621, un modèle I²C utilisé à maintes ait pas d’eau qui stagne sur la première.
reprises dans divers circuits d’Elektor. Ce circuit est indépen-
dant du détecteur de pluie. La valeur de la température absolue
est codée sur deux octets : l’octet de poids fort est un nombre

détecte les averses et la grêle


signé qui donne la température entre +125 et −55 °C, le
bit 7 du second octet y ajoute 0 ou 0,5 °C. Le fonctionnement
détaillé du circuit a été décrit dans le numéro de mars 2000
d’Elektor [1].
Le cœur du détecteur est constitué de deux résistances à coef-
ficient de température négatif (NTC), R13 et R15 ; le modèle Chaque NTC est montée dans un tube fixé à une plaque en
choisi, la TS-NTC-833 de B+B Thermo-Technik [2], a un temps argent d’une épaisseur de 0,5 mm et d’un diamètre de 2 cm,
de réponse de 0,7 s. Les deux résistances NTC, associées à le tout formant une espèce de punaise (figure 3) ; la capacité
deux résistances fixes (R12 et R14) et à un potentiomètre calorifique et la résistance thermique de ces capteurs sont ainsi
(R9), constituent un pont de Wheatstone. Un amplificateur de réduites au minimum. Les résistances NTC doivent être fixées
mesure INA114 de Burr-Brown (IC5) amplifie la différence de aux tubes avec de la pâte thermoconductrice ; les tubes et les
tension entre les points de jonction des NTC et des résistances plaques d’argent seront brasés à l’argent. Les « punaises » seront
fixes ; cette tension est nulle à l’équilibre (ajustable par R9). installées sur le boîtier (en plastique) avec de la colle époxy,
Le gain de l’amplificateur est donné par la formule suivante : seule l’extrémité des tubes pénètre à l’intérieur de celui-ci.

50kΩ
G = 1+
R10

Le potentiomètre R10 de 10 kΩ permet de régler ce gain entre 6


et 500. La détection de la pluie n’est pas un processus à haute
fréquence ; en outre le pont est muni d’un filtre passe-bas
(condensateurs C14 et C15), et la sortie de l’amplificateur est
également filtrée (condensateur C16). Ceci permet d’éviter
toute oscillation intempestive.
Le signal de sortie de l’amplificateur de mesure est converti en
signal numérique par le CA/N du microcontrôleur PIC16F88.
Ce signal et celui du DS1621 sont conditionnés par le PIC et
envoyés vers un module émetteur (868,3 MHz) d’Aurel [3].
Cet article se concentre sur le détecteur de pluie ; les autres
documents de l’auteur, y compris le logiciel du microcontrôleur, Figure 3. Les deux capteurs de température qui constituent le cœur du
sont disponibles sur la page de l’article [4]. circuit sont montés dans un tube brasé à une plaque en argent.

www.elektormagazine.fr septembre/octobre 2018 101


connecteur pour les capteurs module émetteur
Tpluie module émetteur (868,3 MHz) de température analogiques (868,3 MHz)

Tsec plaque d’essai LED (indicateur


« capteurs » de fonctionnement)

plaque d’essai
« alimentation »

support de circuit intégré


pour le capteur de température externe connecteur pour le capteur
numérique de température

Figure 4a. Exemple d’agencement des composants sur une plaque d’essai. Figure 4b. La plaque avec les composants de l’alimentation est placée en
dessous de celle avec les capteurs.

du détecteur et pour un gain initialement fixé à 100 (valeur


de R10 d’environ 500 Ω). R9 permet d’ajuster l’équilibre du
pont : sortie de l’amplificateur égale à la tension de référence
sur la broche 5 (environ 2,4 V, tension d’alimentation du module
émetteur moins tension aux bornes de la diode D5) lorsque
les deux résistances NTC sont à la même température. Si les
réglages sont corrects, le détecteur réagira immédiatement en
cas de différence de température entre les résistances NTC, ce
que l’on peut aisément vérifier en en touchant une du doigt.
Dans la pratique, il faudra sans doute réduire le gain de l’am-
plificateur de mesure pour éviter des détections à répétition ;
celui-ci était fixé à 37 sur le prototype de l’auteur. On peut
même décider d’un certain seuil de détection en déséquilibrant
le pont avec R9.

Figure 5. Le prototype de l’auteur. L’auteur a testé son détecteur pendant deux ans. Il appert
qu’en été – c’était une des motivations premières pour le pro-
jet – la température de la pluie est bien inférieure à celle de
Le capteur DS1621 est collé sur une plaque en aluminium, et l’air ambiant ; c’est aussi parfois le cas en d’autres saisons.
connecté à un support de circuit intégré avec du câble plat en Le détecteur réagit alors de manière fiable. L’entonnoir doit
nappe (figure 5). être isolant d’un point de vue thermique, de telle manière que
La figure 4 (a et b) montre une possibilité de montage sur cir- les gouttes de pluie collectées n’aient pas le temps de (trop)
cuit imprimé ou plaque d’essai à pastilles. La figure 5 montre se réchauffer à son contact ; un exemplaire imprimé en ABS
le prototype de l’auteur, réalisé avec des plaques d’essai. (acrylonitrile butadiène styrène) blanc est parfait. Si l’averse
est assez longue, la différence de température diminuera, mais
Réglage et mode d’emploi c’est accessoire : la fenêtre aura été fermée (par un servomo-
Pour un fonctionnement stable et fiable, l’amplitude du signal teur) dès la détection initiale !
de sortie de l’amplificateur de mesure doit rester comprise (170494 – version française : Jean-Louis Mehren)
entre 2 et 3 V, sur toute l’étendue de la plage de température

Liens
[1] www.elektormagazine.fr/magazine/elektor-200003/8847
[2] www.conrad.fr/ce/fr/product/188506/Capteur-de-temperature-BB-Thermo-Technik-NTC-PRECISIONE-40-C-a-100-C-sor-
tie-radiale-1-pcs
[3] www.conrad.fr/ce/fr/product/191564/Module-metteur-8683-MHz-Aurel-TX-8L25IA
[4] www.elektormagazine.fr/170494

102 septembre/octobre 2018 www.elektormagazine.fr


projet 2.0
corrections, mises à jour et
courrier des lecteurs

référence de 10 MHz
Elektor 05-06/2018, p. 32 (160594)
Le schéma (figure 3) comporte une erreur : la résistance R4 vaut 10 kΩ et non pas 820 Ω.

à propos des rubans à LED


Elektor 05-06/2018, p. 84 (160669)
Une fois de plus, j‘ai lu - et pas uniquement dans Elektor - les termes « basse tension » dans le mauvais
contexte. Thomas Scherer parle de lampes à LED alimentées en 230 V puis de bandes qui (pour la plupart)
seraient exploitées en basse tension. Effectivement, le 230 V fait partie du domaine des basses tensions, le
domaine désigné par l‘auteur comme « basse tension », probablement le 12 V évoqué plus loin, est de la très
basse tension. Ne vous laissez pas influencer par le terme « Low Voltage » du monde anglophone !
Franz Babener

Réponse de la rédaction :
Vous avez parfaitement ; il faut lire très basse tension (en anglais : Extra Low Voltage, ELV). Celle-ci, dans le cas d‘une
très basse tension de sécurité (en anglais : Safety Extra Low Voltage, SELV), ne doit pas dépasser 25 V pour le courant
alternatif et 60 V pour le courant continu. Les tensions jusqu‘à ce niveau sont toujours considérées comme inoffensives
au toucher ; il n‘est donc pas nécessaire de prendre des mesures de protection contre le contact.
Ralf Schmiedel

comment consommer moins


Elektor 01-02/2018, p. 104 (160633)
Une sonde Pt1000 a une valeur nominale de 1 000 Ω à 0 °C (EN 60751) et non pas, comme l‘écrit l‘auteur,
à 20 °C. Les Pt1000 sont des capteurs à caractéristique positive dotés d’une résistance en platine. Domaine
d‘application classique : la surveillance de température de moteurs (valeur limite).
La sonde Pt10000 mentionnée dans l‘article en question n‘existe d’ailleurs pas. Les sondes Pt typiques ont les
valeurs suivantes : 50 Ω, 100 Ω, 200 Ω, 500 Ω et 1000 Ω. D‘autres valeurs sont possibles ; fabriquées suivant les spé-
cifications du client, elles ne sont pas disponibles librement.
Volker Schulze

Réponse de l’auteur :
Vous avez tout à fait raison, une sonde Pt1000 a une résistance de 1 kΩ à 0 °C, et non pas 20°°C comme je l‘ai écrit ;
mes excuses. Cependant, il existe vraiment des sondes à résistance Pt10000 sur le marché, chez UST (www.umweltsen-
sortechnik.de) par exemple.
Robert Lacoste

trucs et astuces : interrupteur pour porte transformé en détecteur d‘eau


Elektor 07-08/2018, p. 72 (160470)
Pour éviter que l‘électronique n’ait les pieds mouillés, surtout lorsque le niveau de l’eau est très élevé, le
circuit peut être installé sur un morceau de polystyrène en veillant à ce que les pattes du capteur le tra-
versent ; ainsi l‘électronique flotte et restera sèche.
Kees de Groot

www.elektormagazine.fr septembre/octobre 2018 103


RÉTRONIQUE

tubes de qualité spéciale (SQ)


le nec plus ultra de la technologie des tubes électroniques
Jan Buiting (rédacteur de la rubrique Rétronique)

Avec leur rayonnement chatoyant et leur forte attractivité, les tubes d’amplification BF sont très en vogue
auprès des audiophiles qui parlent de leur tube favori comme d’une bouteille de Châteauneuf-du-Pape
achetée chez Sotheby’s. Les modèles les plus rares à broches dorées, les types dits SQ, sont vraiment super,
ils sont recherchés passionnément par des mordus qui dépensent plus que la valeur du gros appareil dont ils
ont été extraits. On sait moins d’où ils sont issus et pour quelles raisons ils ont été créés. C’est ce que nous
allons expliquer ici.

Nous allons pour cela remonter en 1956, 1956… le célèbre tube Miniwatt « E » de équipe à examiner de près la fiabilité des
lorsque Klaas Rodenhuis, Grand Maître Philips est déjà fabriqué à des centaines tubes « renforcés », comme on disait
de la technologie des tubes chez Philips de milliers d’exemplaires, pas seulement alors. Les professionnels les appelaient
aux Pays-Bas, en collaboration avec deux pour les postes de radio et de télévision à l’époque « amplificateurs pour la télé-
collègues, a publié pour la première fois de nos grands-parents, mais aussi gra- phonie, les calculateurs électroniques,
un article dans la légendaire Revue tech- duellement pour l’industrie. Il fallait alors les appareils de mesure et de contrôle
nique Philips [1]. Cet article fournit une les produire vite et surtout bon marché industriels, ainsi que les récepteurs et
bonne base de connaissances générales pour les consommateurs, mais côté pro- émetteurs mobiles ». Pour ces usages, on
sur les tubes que je vais résumer ici. fessionnel, on a incité Rodenhuis et son a développé en quantité limitée des tubes

104 septembre/octobre 2018 www.elektormagazine.fr


« Special Quality ». En fin de compte, SLm= S0∙e–1 = 0,368∙S0
« les lourdes conséquences de la panne
d’un tube dans un dispositif profession- si bien qu’il y a encore 36,8 % de tubes
nel conduisent à réclamer davantage de d’origine en fonction. Quand t = 2∙Lm,
qualité des tubes, notamment en gain, ce nombre est S∙2∙L m, = S 0∙e –2, etc.
puissance de sortie, bande passante, etc. Durant chaque période Lm, le pourcen-
Rien d’étonnant à ce que l’on revendique tage de rescapés diminue d’un facteur e.
plus de fiabilité des tubes professionnels, Cela signifie que les tubes qui ont déjà
puisque l’appareillage doit être prêt à des milliers d’heures à leur actif ne se
fonctionner à tout moment et ne peut pas distinguent en rien des tubes flambant
tomber en panne soudainement. De plus, neufs, donc il n’y aurait aucune raison
il importe que des propriétés comme une de les remplacer. Bien sûr, cela ne vaut
longue durée de vie, une faible tolérance que pour une ligne droite comme la n° 1.
sur les caractéristiques électriques et une Mais la courbe n° 3, publiée par Knight
grande solidité soient assurées, même si en 1948 aussi, est tout de même plus Figure 1. Pourcentage S / S0, en échelle
elles ne le sont pas dans la même mesure réaliste. Elle montre un déclin abrupt au logarithmique, en fonction du temps t, sur des
pour les quatre domaines d’application. » cours des premières centaines d’heures, expériences de longévité avec divers types de
Affaire de réclamations, pas de n’importe puis une partie assez droite avec une tubes. Les courbes de 1 à 5 sont empruntées à
la littérature [1]. Le type du tube et l’année de
qui, mais de la puissante industrie. faible pente qui, après plusieurs milliers
publication sont indiqués près des courbes. Les
d’heures, se termine de nouveau par une
données pour les courbes 5A et B proviennent
Les notions de fiabilité courbe plus pentue. Ici, on se rapproche d’un test effectué avec la bienveillante
et de longévité plus de la pratique. Au début, certains collaboration des PTT néerlandaises.
Supposons une énorme pièce d’appa- tubes montrent les suites de défauts de Source : [1].
reillage électronique équipée d’un grand fabrication qui ont échappé à l’attention
nombre S0 (disons 1 000) de nouveaux du contrôle de qualité, mauvaises sou-
tubes du même type, mise en service dures, non-conformité à la distance de
à un moment donné pour une longue sécurité entre électrodes, etc. Après leur
période. Chaque panne d’un tube est élimination, on n’assiste plus qu’à une
consignée, de sorte qu’à chaque ins- panne accidentelle de temps à autre.
tant on puisse voir le nombre de tubes Jusqu’à ce que les lents processus phy-
d’origine qui fonctionne encore (S). Tra- siques et chimiques dans et autour de
çons le graphe de S / S0 en fonction de t la cathode, comme des émissions rétro-
en échelle logarithmique, nous obte- grades partielles, la diminution progres-
nons des courbes telles que celles de la sive de la résistance d’isolement entre
figure 1, toutes provenant de recherches électrodes, entre autres, causent des
du passé. pannes non accidentelles.
Ce qui est remarquable dans la courbe
n° 1, due à Lewis en 1948, c’est qu’elle À propos de cette courbe, on peut dire :
est pratiquement droite, son équation • Dans la partie centrale rectiligne de
est : la courbe, les tubes se comportent à Figure 2. Une illustration des notions de
peu près selon la loi exponentielle : « longévité moyenne » Lm et « longévité
S / S0 = e−Pt S / S0 = e–Pt. pratique » Lp (Lp s’arrête quand le taux de
• Auparavant, durant les premières pannes commence à croître). Source : [1].
dans laquelle P est une constante. Pour 100 à 1 000 heures, le coefficient
le nombre de tubes en panne par unité de pannes était plus élevé. Les
de temps, soit −dS/dt, on trouve : tubes qui ont déjà travaillé quelques
P∙S0∙e−Pt = P∙S. Donc le taux de panne centaines d’heures sont donc plus déviance par rapport à certaines proprié-
relatif par unité de temps (le nombre de fiables que les nouveaux. tés du tube qui peut se produire avant
pannes, analogue au nombre de décès • Juste au-delà de la partie plate, que le circuit dans lequel il travaille cesse
dans une population), −(dS/dt) / S, est quand la probabilité de panne aug- de le faire correctement. Deux caracté-
égal à la constante P, donc indépendant mente, sauf si certaines précautions ristiques techniques du tube, cruciales
du temps ! sont prises, il est logique de rempla- à cet égard, sont la pente et le courant
cer en bloc tous les survivants par de grille de commande. Comme critère
Sans faire appel au calcul infinitésimal de nouveaux tubes. objectif, on considère qu’un tube est
pour trouver la durée de vie moyenne Lm, moins adéquat, voire inutilisable quand
on a pour la courbe 1 : P = 0,135∙10–3∙h–1 La durée de vie pratique Lp est définie sa pente est passée sous les 70 % de la
(nombre de pertes 13,5% par 1 000 h), à compter du moment où le risque de valeur nominale ou quand le courant de
et Lm devient alors : 1000 / 0,135 = panne augmente (fig. 2), ce qui est plus grille dans des conditions standard est
7 500 heures (et votre iPhone ?). valable que le Lm évoqué. Ce moment, monté à 1 µA. Au besoin, on peut choisir
Au temps t = Lm, le nombre de survi- bien sûr, ne dépend pas uniquement de d’autres critères pour des applications
vants est de : la qualité des tubes, mais aussi de la spéciales.

www.elektormagazine.fr septembre/octobre 2018 105


Les pannes qui surviennent prudence est donc requise quand on
progressivement entoure le tube d’un blindage.
En 1956, la plupart des tubes avaient • Éviter une trop haute tempéra-
sûrement une cathode à oxydes à chauf- ture de l’anode et de la grille-écran,
fage indirect. Elle est faite d’une couche puis de la cathode comme contre-
de mélange cristallin de BaO et SrO (par- coup de la trop forte dissipation, ce
fois CaO), déposée sur un cylindre nic- qui entraîne le danger de porosité
kelé chauffé de l’intérieur par un filament à l’air et d’évaporation de baryum.
de tungstène porté à une température À propos de la contamination de la
de 750 à 800 °C. La densité de courant cathode, ne pas négliger la dissipa-
de saturation d’une telle cathode se situe tion due à une haute tension à faible
entre 2,5 et 25 A/cm². Que peut-on y courant tout comme à basse tension
faire pour améliorer la qualité et donc et courant fort. Sous haute tension,
prévenir les pannes ? la contamination est plus précoce,
sans doute à cause surtout d’élec-
• Réduire tous les phénomènes de trons rapides capables de décom-
contamination de la cathode par la poser en poussière des matériaux
mise en place d’électrodes et éven- adsorbés sur une anode ou une
Figure 3. Poste de soudage par points. 1
tuellement d’écrans choisis pour grille-écran et qui attaquent alors la
est l’électrode fixe, 2 l’électrode mobile
avec l’alimentation 3. Un mélange gazeux qu’aucun électron ne puisse échouer cathode. Un tube est en conditions
réducteur (H2 + N2) est envoyé par le tuyau 4. sur le verre ou le mica. Tendre vers favorables si la dissipation est faible
Source : [1]. la plus grande pureté possible des à basse tension ; un courant catho-
pièces qui composent le tube. dique élevé est sans inconvénient.
• Choisir la température de cathode
Le taux de défaillance détermine princi- la plus basse encore possible, avec La meilleure prévention possible des
palement la fréquence des dérangements bien entendu une marge qui tienne défauts d’isolement repose en partie sur
qui se produiront suite à une panne du compte des fluctuations de la tension le producteur de tubes et sur le concep-
tube. La valeur réciproque est simple- de filament. teur de l’appareil. Avant la livraison, le
ment la fiabilité : elle est d’autant plus • Empêcher la formation d’une producteur peut conserver les tubes
grande que la courbe est plate. Comme couche intermédiaire indésirable pendant un mois, puis les soumettre
exemple de comportement d’un tube à dans la cathode en utilisant du nic- au contrôle par la mesure du courant
haute fiabilité, il y a dans la figure 1 les kel « passif » pour le cylindre de de grille. Sur demande, le contrôle des
courbes 5A et 5B, toutes deux relatives cathode, c’est-à-dire contenant fuites s’effectue plus vite avec le test dit
au type 18042, une penthode SQ pour moins de 0,03 % de Mg et pas plus de l’argon. Le concepteur peut réduire
amplificateurs de téléphonie, mais dans de 0,01 % de Si (le nickel ordinaire l’influence de l’isolation et du courant de
des applications différentes. La courbe 5A contient 0,1 % de Si). La fabrication grille en utilisant une résistance de grille
découle d’une expérience où les tubes dure plus longtemps avec le nickel de commande aussi petite que possible.
servent dans un circuit très sensible aux passif avant que la cathode soit acti-
défauts d’isolation dans le tube. Aussi, vée, mais après, les tubes travaillent Les pannes soudaines et
chaque tube dont une certaine résistance 19 000 h à la température normale inattendues
d’isolation était tombée sous 1 MΩ a été de cathode sans qu’une couche Une panne soudaine d’un tube provient
signalé comme défectueux par un relais. intermédiaire avec une résistance souvent d’une coupure électrique (p. ex.
La courbe 5B provient de tubes 18042 mesurable se forme. une soudure qui lâche), d’un court-circuit
soumis à une même forte charge, mais ici entre deux électrodes, d’un défaut du
dans un amplificateur ordinaire à basse Et maintenant encore quelques facteurs verre, d’un claquage entre cathode et fila-
fréquence pour lequel la chute d’iso- sur lesquels les concepteurs d’appareils ment. Examinons brièvement ces facteurs.
lation jusqu’à 1 MΩ ne pouvait causer peuvent opérer directement : Pour prévenir les coupures, il est impor-
aucun mal et donc n’était pas signalée.
Dans la partie droite, la courbe 5A pré- • Maintenir la tension de filament dans
sente une décroissance de 0,5 %, la 5B, une fourchette de ±5 % de la valeur
une de 0,25 % par 1 000 heures. Après nominale. Stabiliser à 1 %, même
10 000 heures, on ne décèle toujours pas 5 % à 10 % sous la valeur nominale
de tendance à se recourber. est « parfaitement justifié » selon
Chez Philips, on était d’avis que le taux Rodenhuis et les siens. Donc 6,00 V
de pannes des tubes professionnels et bien stable, super ! Une firme
pouvait (ou devait) avoisiner 0,1 % par comme Tektronix l’a fait durant des
1 000 h et « qu’il n’y aura pas beaucoup années, avec le résultat que l’on
d’applications pour lesquelles cela signi- connaît. Et en continu, qui plus est !
fie une fiabilité insuffisante ». Mais pour • Tenir la température de l’ampoule Figure 4. Ancienne forme (a, à gauche) et la
l’industrie, la fiabilité est la préoccupa- partout sous 170 °C pour limiter nouvelle (b, à droite) des plaquettes de mica.
tion numéro 1. l’intrusion d’air à travers le verre. La Les nouvelles s’écaillent moins. Source : [1].

106 septembre/octobre 2018 www.elektormagazine.fr


tant de faire de bonnes soudures et de
prélever des échantillons de test pour Décodage :
maintenir la qualité du montage. Il faut • ExxC(C) / ExxL désignent un tube SQ.
aussi que le poste de soudage par points • Un ECCxxx peut être un tube SQ.
et le matériel utilisé répondent à des exi- • Tous les tubes SQ ne portent pas forcément un numéro de type ExxC(C) / ExxL.
gences élevées. Ainsi, fixer la phase du • Tous les tubes SQ n’ont pas nécessairement des broches dorées.
moment de l’enclenchement du poste à • Un numéro à 4 chiffres xxxx ou un CVxxxx peut aussi être un tube SQ.
souder (fig. 3), pour qu’elle soit indépen- • Le numérotage ExxL est actuellement utilisé de manière illégale par des
dante du moment où l’opérateur appuie fabricants astucieux de certains pays pour désigner un « tube de qualité ».
sur la pédale.
Après 1960, Philips, Valvo, Mullard et Telefunken entre autres ont continué le déve-
Les courts-circuits entre électrodes
loppement et la production de la série SQ pendant quelques années en poursuivant
(avec des distances entre elles d’à peine
et atteignant un degré de perfection toujours plus élevé. Si vous possédez des tubes
100 µm et même 50 µm) sont faciles à
SQ qui ne figurent pas dans le tableau, faites-le savoir au rédacteur des articles Rétro-
détecter en production et sortent rare-
nique : [email protected] (qui lit le français). J’en connais deux, le E34L et
ment de l’usine. Un problème nettement
le E288CC, les derniers de la phase terminale de production de la célèbre fabrique
plus sérieux se pose avec les liaisons
Philips « Heerlen-Molenberg ». Les audiophiles agitent leur carte de débit dès qu’ils
conductrices qui surviennent à cause
voient deux de ces tubes. J’en ai vu récemment sur le marché de la radio à Rosma-
de petites pièces lâchées dans le tube.
len (Pays-Bas), mais sans leur boîte.
Elles peuvent présenter des résistances
de passage de l’ordre de 107 à 1 Ω, mais
de façon intermittente, ce qui les rend
très difficiles à trouver. L’assemblage et de son filament et, pour les tubes pro- la mesure dans laquelle la grille de com-
sans poussière est donc nécessaire. De fessionnels à plus petite cathode, on a mande est recouverte de matériau de la
même l’élimination absolue dans le tube suivi une voie non conventionnelle : ce cathode déposé dessus, c’est-à-dire de
de pièces libres qui se forment lors de n’est pas seulement le filament, mais la température de la cathode. La grille
la fabrication, comme de petites gouttes aussi l’intérieur du cylindre de cathode est plus sensible à la contamination que
de métal qui s’échappent lors du sou- qui a été pourvu d’une couche d’oxyde la cathode, car elle ne peut produire
dage, des morceaux détachés de la d’aluminium. elle-même du baryum libre et ne reçoit
cathode et des morceaux de mica exfo- Pour différentes raisons, il est préférable donc que celui ajouté par la cathode.
liés (ceux-ci deviennent conducteurs à d’appliquer une couche plus épaisse Les quelques jours de fonctionnement
la longue si un morceau de matériau de d’oxyde d’aluminium sur le filament : du tube à la fabrique ont pour rôle de
la cathode tombe dessus). Du métal qui mettre la surface de la grille de com-
gicle indique un mauvais réglage de la • La couche interne sur le cylindre de mande en condition normale de travail
machine ; du matériau de la cathode cathode se trouve à une tempéra- et, une fois atteinte, le travail de sor-
émietté vient d’une fabrication négligente ture moins haute que la couche sur tie thermo-électronique du potentiel ne
de la cathode. Les plaquettes de mica le filament, ce qui recule la tension change plus beaucoup.
de la nouvelle forme (fig. 4, à droite) de claquage. Jusqu’en 1956, Philips construisait
pèlent beaucoup moins que celles de l’an- • Grâce à la meilleure absorption du presque toutes les grilles comme à la
cienne forme (fig. 4, à gauche). rayonnement de l’oxyde d’aluminium figure 5, à gauche. La grille est faite
par rapport au nickel, la transmis- d’un fil de molybdène enroulé en hélice,
Le claquage entre cathode et filament est sion de chaleur est facilitée, de sorte supporté par deux barrettes de nickel,
une panne bien connue sur de nombreux que la température du filament peut de fil cuivré ou d’un matériau similaire.
tubes et elle ne se produit hélas qu’après être plus basse. Les spires restent en place parce qu’elles
des décennies d’utilisation intensive. sont insérées dans des entailles dans
Chez Philips, dans le labo de Rodenhuis, En pratique, il se révèle qu’avec les les barrettes, les entailles sont ensuite
on a procédé à de soigneuses recherches cathodes à couche d’oxyde interne resserrées pour coincer le fil. Dans la
sur l’assemblage optimal de la cathode (comme avec les tubes SQ E80CC et nouvelle construction, les spires de
E80L) le claquage ne survient que 5 à grille n’ont plus de fonction mécanique,
10 fois plus tard qu’avec les autres tubes. elle est totalement confiée à un cadre
robuste (fig. 5, à droite) composé de
Dispersion dans les deux tiges maintenues au bon écarte-
caractéristiques ment par quatre bandes. Le fil de grille
Les différences de caractéristiques de est extrêmement fin, 7,5 µm p. ex. et
tubes du même type sont attribuées est enroulé serré sur le cadre. En adé-
aux disparités de dimension ou de dis- quation avec la résolution de réduire la
tance des électrodes, du travail de sor- tolérance dans les mesures de la cathode
tie thermo-électronique [2] du potentiel et des plaquettes de mica, la construc-
de la grille de commande et d’émissi- tion des cadres de grille rend possible la
Figure 5. Une grille de construction normale (a, vité de la cathode. De nombreux utilisa- fabrication de tubes avec une distance
à gauche) et une grille moderne sur cadre (b, à teurs ignorent cette efficacité du poten- entre cathode et grille de commande
droite). Source : [1]. tiel de g1. Elle dépend entre autres de de 50 µm, donc la moitié de ce qu’on

www.elektormagazine.fr septembre/octobre 2018 107


grand diamètre de la plaquette de mica
qui reste en place très précisément. La
figure 7 montre l’intérieur du tube SQ
type E80F dans lequel elle est employée.
Pour diminuer la fatigue mécanique du
filament, il est nécessaire d’avoir ce faible
jeu par rapport au cylindre de cathode.
La queue de cathode, comme on dit, peut
être sauvée de la rupture en lui donnant
une large boucle.

Contrôle de qualité
Quand on fabrique des tubes « Special
Quality », le contrôle de qualité d’usine
n’est pas un vain mot. Nous en avons
déjà vu certains exemples, comme les
vérifications du montage et des tensions
mécaniques dans le verre. À ce stade,
les pièces participantes ont déjà subi
le contrôle de qualité dans lequel les
caractéristiques principales sont déter-
minées. Après le pompage et la combus-
tion, on examine les tubes pour détecter
Figure 6. Un quintette de tubes E88CC de Telefunken et Philips. Faites votre choix. les courts-circuits, les interruptions, les
fissures, etc. On mesure aussi certaines
caractéristiques électriques.
Ensuite, on soumet les tubes à des vibra-
faisait avec les grilles d’avant. Évidem- tions pendant cinq minutes pour vérifier
ment, les tubes professionnels (ExxCC qu’il n’y a pas de pièces détachées dans
/ ExxL / série SQ) étaient parfaits pour l’ampoule. Le comportement pendant
cette nouvelle technologie du cadre de une durée de 48 h de fonctionnement,
grille, avec beaucoup moins de disper- au cours desquelles les propriétés carac-
sion dans les caractéristiques, le E180F téristiques se stabilisent, donne une indi-
et le célèbre E88CC ont aussi vu le jour cation importante sur la fiabilité. Après
en 1956 (fig. 6). cette période, tous les tubes subissent
un test de longévité de courte durée,
Stabilité aux vibrations en somme, ce sont l’émission, la
Quand les tubes ont connu une énorme pente, le courant anodique et
demande pour l'aviation, le sujet de l’isolation qui sont inspectés, et
la stabilité aux vibrations a gagné en de nouveau une recherche
importance. de fissures. La pro-
S’il y a un certain jeu entre la pla- duction de chaque
quette de mica et l’ampoule ou entre semaine est enregis-
le mica et un composant qu’il tient trée séparément. On
en place, quand le tube tel quel en prélève un certain
est soumis à des trépidations, le nombre de tubes
mica va s’user et le jeu augmen- comme échantillons.
ter. D’abord, la redoutable micro-
phonie augmente. Plus tard, le jeu
prenant plus d’ampleur, il y a risque
de court-circuit entre électrodes. Le
mica peut aussi émettre du gaz et
des parcelles de mica qui conta-
minent la cathode. La plaquette de
mica de la figure 4b a déjà apporté
une grande amélioration et une
adaptation spécialement bonne à
Figure 7. Penthode E80F avec plaquettes de l’ampoule. On l’obtient en lui don-
mica selon la figure 4b. Celle du haut s’adapte nant un rétrécissement au niveau
parfaitement dans un rétrécissement de du mica, où la ligne diamétrale est
l’ampoule en verre. Source : [1]. 0,1 à 0,2 mm plus petite que le plus

108 septembre/octobre 2018 www.elektormagazine.fr


Sur une partie d’entre eux, on mesu-
Vous souvenez-vous encore de ceci ?
rera les capacités, l’émission de grille,
le bruit, la microphonie, etc. Une autre Le tableau que j’ai trouvé dans le Pocketbook de Philips, édition de 1960, montre une
partie sera soumise à un test de durée série de tubes à usage professionnel. Au service des ventes d’Eindhoven, on s’est
de vie de 500 h. Quant aux tubes qui creusé la cervelle pour choisir dans les classifications tous les termes qui inciteraient
doivent répondre à de hautes exigences les clients à acheter. Seuls les « military » manquent à l’appel, il y avait une ligne
mécaniques, ils vont subir des tests aléa- téléphonique à part pour ces articles !
toires de vibrations et de chocs.
Le résultat de tous ces échantillons Qualification (selon Philips) Types de tubes
détermine si cette production hebdoma- Reliable long life 18040 ; 18042 ; 18045 ; E81L ; E83F
daire peut être livrée. Avant livraison, les E80CC ; E80CF ; E80F ; E80L ; E88CC ;
Reliable, ruggedized and long life
tubes passeront encore une vérification E130L ; E180F, E188CC
de leurs caractéristiques principales pour Reliable, ruggedized E90F ; E99F ; 5654 ; 5726 ; 6201
savoir s’ils n’ont pas subi de dommage E90CC ; E91H ; E92CC ; E180CC ;
au cours du stockage. Computers
E182CC ; ECC186 ; 6211 ; 6463

Et aujourd’hui ?
Revenons à l’an 2018 pour constater que
les tubes initialement fabriqués pour une
application spéciale dans l’appareillage
industriel, les télécommunications et l’in-
formatique ont atteint, sans l’avoir cher-
chée, l’aura du culte, en particulier ceux
aux broches en or.
Le développement de la ligne des tubes
SQ chez Philips a été rentable de deux
façons : d’un côté, il les vendait cher à
l’industrie et par ailleurs, les améliora-
tions ont bénéficié à la verrerie ECC/PCC
grand public qui s’est vendue par mil-
lions. Aujourd’hui les fameux tubes SQ
proviennent en gros des dernières séries
fabriquées par Philips fin des années 70,
par exemple le splendide E80L pour cen-
traux téléphoniques ou le E90CC dans
certains ordinateurs.
Tandis que Philips vendait à grand prix
ses tubes SQ et « renforcés », certains
gros acheteurs de lots ordinaires, pas
fous, en prenaient de grandes quanti-
tés pour repérer eux-mêmes les meil-
leurs exemplaires pour des applica-
tions « Hi-Rel » (haute fiabilité) et très
critiques. Tektronix en donne un bel
exemple en leur faisant subir un régime
sévère de qualité, en particulier sur la
microphonie et la pente, pour fournir des Figure 8. Tektronix n’achetait pas les onéreux tubes SQ, mais sélectionnait les meilleurs exemplaires
tubes appariés sous un autre numéro de dans de grosses quantités de production ordinaire en les testant principalement sur leur pente et la
stock (fig. 8). microphonie. Ici un duo de 12BY7A emprunté à un vieil oscilloscope.
(180299 – version française : Robert Grignard)

Référence et lien
[1] « Fiabilité et longévité des tubes amplificateurs professionnels »,
K. Rodenhuis, H. Santing et H. J. M. van Tol, Revue technique Philips,
édition mai/juin 1956, Laboratoires Philips de recherches scientifiques
(le magazine paraissait en plusieurs langues)
[2] Travail de sortie thermo-électronique (énergie minimale nécessaire pour ar-
racher un électron à un métal) :
https://fr.wikipedia.org/wiki/Travail_de_sortie

www.elektormagazine.fr septembre/octobre 2018 109


questions d’éthique
ville intelligente :
en toute confiance ?
Amsterdam travaille à un algorithme fiable
Tessel Renzenbrink

Tempête de données. Photo : Dave Herholz. www.flickr.com/photos/dherholz/450303689/ Licence CC : BY-SA 2.0

Tamas Erkelens, responsable du pro- vaient malgré eux dans des établisse- enfant refusé dans l’école de son premier
gramme d’innovation des données à ments impopulaires, qui disposaient évi- choix a encore une chance d’accéder à
Amsterdam, nous explique comment demment de places libres. Depuis 2015, un établissement qui le satisfait presque
un algorithme attribue les places en le tirage au sort est centralisé pour toute autant. En 2017, 97,7% des enfants ont
1 ère année de l’enseignement secon- l’agglomération et tient aussi compte des obtenu une place dans une de leurs cinq
daire [2], la capacité des établissements préférences : chaque enfant établit une écoles préférées.
étant insuffisante pour que chaque élève liste de ses douze écoles préférées, et Il y a bien sûr des insatisfaits, et il y en
puisse accéder à l’école de son choix. c’est un algorithme qui sélectionne l’école aura toujours, quel que soit le système
Jusqu’en 2014, les places dans chaque pour chaque candidat. utilisé. Avant, on disait : « Pas de bol ! » ;
école étaient attribuées par tirage au Dans l’ancien système, un seul établisse- désormais on peut rejeter la faute sur
sort, et de nombreux élèves se retrou- ment pouvait être choisi ; maintenant, un un programme informatique. D’ailleurs

110 septembre/octobre 2018 www.elektormagazine.fr


Amsterdam veut devenir une ville intelligente et y travaille. Comme ailleurs, des algorithmes
traitent diverses données pour améliorer la vie dans la cité. Comment amener citoyens
et entreprises à faire confiance à ces applications des technologies numériques ? La ville
intelligente le mérite-t-elle vraiment ? Des orateurs ont été invités à aborder ces questions lors
du festival We Make The City, qui s’est tenu du 20 au 24 juin derniers à Amsterdam [1] : Tamas
Erkelens, un fonctionnaire communal, y a présenté la mise en œuvre pratique d’un algorithme ;
la professeure Valérie Frissen a donné quelques raisons du manque de confiance dans la
technologie ; le professeur Sander Klous a tenté d’expliquer comment Amsterdam pourrait
rétablir cette confiance.

parents et enfants ne se privent pas de vialité est devenue si importante que nous sont-elles pertinentes ? On vérifie égale-
pointer le programme du doigt, pour un ne nous préoccupons plus de ce qu’il y a ment si les processus sous-jacents fonc-
soi-disant manque d’impartialité et de sous le capot, et encore moins de l’im- tionnent comme il se doit. Les enfants
fiabilité. plication pour les relations sociales ». En et leurs parents savent-ils qu’ils doivent
ce qui concerne la complexité croissante choisir douze écoles ?
La confiance des systèmes, elle conclut que cela nous Il faut enfin se poser la question de savoir
dans la technologie s’effrite amène à une confiance aveugle ; nous comment informer le public sur le fonc-
La ville a pourtant bien besoin de la n’avons plus honte de notre ignorance, et tionnement du processus. C’est prati-
confiance des citoyens : sans leur sou- ne voulons surtout pas que cela soit remis quement mission impossible en ce qui
tien, il est difficile de lancer des proces- en question. concerne l’algorithme : les techniques
sus basés sur la collecte de données. mathématiques mises en œuvre sont
Valérie Frissen, professeure en tech- Rétablir la confiance d'un très haut niveau et ne peuvent être
nologies de l'information et de la com- Sander Klous, professeur en « Big Data appréhendées que par un spécialiste. Il
munication et en changements sociaux Ecosystems for Business and Society » faut dialoguer avec le public à un autre
à l’université Érasme de Rotterdam, (écosystèmes des mégadonnées pour niveau, mais comment savoir si le citoyen
donne quelques raisons de la baisse de le monde des affaires et la société) à s’estime suffisamment informé ? Le fait
confiance dans les technologies numé- l’université d’Amsterdam, s’interroge sur de satisfaire 97,7% des enfants avec une
riques [3] : « La confiance est basée sur la possibilité pour la ville de regagner école de leur top 5 est-il suffisant ? Ou
des concessions réciproques. En matière la confiance des citoyens [2]. Il utilise bien se contentera-t-il des directives du
de collecte de données, on exige de nous pour illustrer ses propos le système d’at- conseil municipal et de l’audit externe ?
de la transparence et de tout donner, tribution des places dans les écoles, et Une autre approche est d’envisager un
sans rien céder en retour ». Le traitement rappelle qu’un algorithme est une boîte algorithme moins complexe, mais plus
de nos données prend par ailleurs de plus noire : des données à l’entrée, un résul- compréhensible ; quid alors de l’effica-
en plus d’importance. Cela permet l’envoi tat en sortie, mais mystère pour ce qui cité de cet algorithme ?
de publicités et nouvelles ciblées, mais se passe entre les deux. Le développement d’une ville intelligente
ça peut aussi jouer un rôle pour l’octroi Première question : qui décide du pro- en est toujours au stade de la prime
d’un crédit ou une embauche. Ces don- cessus ? En ce qui concerne l’attribution enfance. Il est difficile de dire ce qu’il est
nées ne nous appartiennent plus, et on des places dans les établissements d’en- nécessaire de faire pour gagner l’engoue-
ne sait même pas si le portrait qu’elles seignement, c’est le conseil municipal. ment du public, mais il est certain que la
dressent de nous est fidèle ou non. Question suivante : comment peut-on confiance des citoyens est une condition
Valérie Frissen remarque également que la être certain que l’algorithme exécute sine qua non pour pouvoir avancer. Les
technologie est de moins en moins visible : correctement ce que l’on attend de lui ? autorités en sont conscientes, et c’est
nous voulons des interfaces intuitives Sander Klous explique qu’on réalise un un bon début…
pour nos appareils électroniques, mais audit du programme, et du contexte dans (180300 – version française : Jean-Louis Mehren)
nous savons de moins en moins com- lequel il opère. Par exemple, les données
ment l’appareil lui-même fonctionne. Un
enfant peut utiliser une tablette, et la plu-
part des adultes se cantonnent eux aussi
Liens
aux applications que le constructeur a ins-
tallées. La puissance de calcul d’un télé- [1] Festival « We Make The City » : http://wemakethe.city/en/
phone portable moderne est supérieure à [2] Conférence « Strategies for Inclusive Digital Transformation » :
celle des ordinateurs de bord d’une cap- http://wemakethe.city/en/programma-item-en?programid=4193
sule Apollo, mais tout ce que l’utilisateur [3] Conférence « Tada - Duidelijk over Data » :
moyen en tire, c’est glisser ses doigts sur http://wemakethe.city/en/programma-item-en?programid=4414
l’écran ! Valérie ajoute encore : « La convi-

www.elektormagazine.fr septembre/octobre 2018 111


bienvenue dans votre
e-choppe Vos favoris :
1. Testeur USB UM25C avec écran
couleur LCD + Bluetooth de
Bakeey
www.elektor.fr/bakeey-um25c
la rédaction recommande

Banc d’essai : thermomètre à bargraphe Nixie, en kit


Elektor magazine et son labo ont pris avec un peu de retard le
train de la rétromania en électronique. Elle signe en particulier
la renaissance des tubes Nixie. Il faut cependant reconnaître
que les projets parus dans le magazine sont délectables et bien
conçus et les articles de fond très intéressants. La « nouvelle
horloge de précision à Nixie » et « (presque) tout ce que
2. Testeur intelligent de CMS
vous avez toujours voulu savoir MS8911 (LCR-mètre) de
sur les tubes Nixie » en sont de Mastech
bons exemples. Dans le droit fil www.elektor.fr/ms8911
de leurs projets d’horloge à Nixie et tubes fluorescents à 3. Raspberry Pi 3 (modèle B+)
vide (VFD), les auteurs indépendants belges Ilse Joostens et www.elektor.fr/
Peter S’heeren (voisins du labo d’Elektor) nous font une belle raspberry-pi-3-model-b-plus
surprise qui sera du plus bel effet : un thermomètre avec
4. Kit de l’horloge à tubes VFD
une classique colonne graduée en °C/F, mais qui rayonne avec ESP32
une chaude lumière dans votre salon. Le secret : le parfait www.elektor.fr/vfd-horloge
équilibre entre l’ancien et le moderne.
5. Microscope numérique HDMI/AV
ADSM 302 d’Adonstar
Jan Buiting (rédacteur en chef de l’édition en anglais)
www.elektor.fr/adsm302

6. The Official ESP32 Book


(livre en anglais)
www.elektor.fr/nixie-bargraph www.elektor.fr/esp32-book

Raspberry Pi 3 (modèle B+) Horloge à tubes VFD et Raspberry Pi 3 –


liaison Wi-Fi par ESP32 Basic to Advanced Projects

Par rapport à celles de son prédécesseur, la vitesse Cette horloge à l’allure vintage affiche l’heure et de la date sur Ce livre (en anglais) traite du nano-ordinateur Raspberry Pi 3,
et la puissance de ce Raspberry Pi 3 B+ ont gagné six tubes russes, des VFD type IV-22 et deux indicateurs VFD mais surtout de son utilisation dans diverses applications de
globalement 15 %. Cette amélioration significative du RPi 3 B+ DM160 (traits séparateurs entre heures, minutes et secondes). contrôle et de surveillance : 30 projets testés et fonctionnels.
n’entrave nullement sa compatibilité (électrique et mécanique) Pour sa mise à l’heure, elle se connecte par Wi-Fi à un serveur Il couvre aussi la configuration du RPi 3, l’installation et
avec les modèles RPi 3 B, 2 et B+. NTP de l’internet. La structure de l’horloge est modulaire : l’utilisation du système d’exploitation Linux, et l’écriture
module DevKitC ESP32 d’Espressif compatible Arduino, carte des programmes en Python. Les montages proposés sont
d’affichage et carte d’éclairage RVB d’arrière-plan. intéressants parce qu’ils reposent sur du matériel récent.

Prix (membres) : 35,50 € Prix (membres) : 152,96 € Prix (membres) : 31,46 €

www.elektor.fr/rpi3b-plus www.elektor.fr/vfd-horloge www.elektor.fr/rpi-basic-advanced-projects

112 septembre/octobre 2018 www.elektormagazine.fr


e-choppe livres outils de développement projets cd - dvd

PiJuice – Alimentation sans interruption


pour Raspberry Pi
Fini l’adaptateur d’alimentation !
Grâce à l’alimentation autonome PiJuice de PiSupply, vous pouvez
utiliser votre Raspberry Pi sans adaptateur secteur, au moins
pour un certain temps. Le produit est conçu comme une
alimentation sans interruption et peut fonctionner
pendant plusieurs heures. Selon les utilisateurs, sa
batterie, d’une capacité de 1820 mAh, peut assurer
la bonne marche d’un RPi 3B+, le plus gourmand
en énergie de la gamme RPi, pendant environ
4 à 6 h, selon la charge imposée au processeur,
cela va de soi. Et comme si cela ne suffisait pas,
les barrettes supplémentaires présentes sur la carte
permettent d’ajouter très facilement des batteries de
plus grande capacité.

Prix (membres) : 53,96 €


www.elektor.fr/pijuice

Shield d’expérimentation Maîtrisez les microcontrôleurs Anet E10 :


Arduino version 2.0 à l’aide d’Arduino (3e édition) nouvelle imprimante 3D en kit

Le shield proposé en 2014 améliorait la carte Arduino avec 3e édition augmentée avec AVR Playground & Elektor Uno R4 Pas envie, ni le temps d’assembler des pièces détachées ?
des LED, des boutons-poussoirs, un écran LCD et un accès L’imprimante 3D Anet E10, en kit et assemblée en un ¼ h,
L’ambition de cet ouvrage est de vous faire entrer dans le est idéale pour vous. Elle est silencieuse, robuste (châssis en
à l’interface UART et aux broches du microcontrôleur. Ce
monde Arduino puis de vous en faire ressortir victorieux aluminium), rapide, précise et elle imprime des objets de
shield a suscité – et suscite encore – beaucoup d’intérêt ; une
pour vous emmener plus loin dans l’apprentissage de la grande taille. Vidéo sur le déballage et le montage : il suffit
révision s’imposait donc ! La version 2.0 de ce shield permet
programmation des microcontrôleurs. Il met la théorie en d’assembler le socle (muni de son plateau chauffant) et le
maintenant de connecter n’importe quel écran LCD standard
pratique sur une carte Arduino avec l’environnement de portique, fournis montés l’un et l’autre. Pas de soudures, mais
avec connexion à 2×8 trous.
programmation Arduino. des connecteurs étiquetés.

Prix (membres) : 29,25 € Prix (membres) : 32,85 € Prix (membres) : 319,00 €

www.elektor.fr/160593-91 www.elektor.fr/livre-arduino3 www.elektor.fr/anet-e10

www.elektormagazine.fr septembre/octobre 2018 113


jouez & gagnez

Hexadoku casse-tête pour elektorniciens


Votre magazine se termine toujours et encore par Une grille hexadoku est composée de chiffres du système
hexadécimal, de 0 à F. Remplissez le diagramme de
une grille de sudoku particulière. Éteignez le fer à
16 x 16 cases de telle façon que tous les chiffres
souder, oubliez les écrans de PC et d’oscilloscope, hexadécimaux de 0 à F (0 à 9 et A à F) n’apparaissent
rangez les pinces et les fils. Faites travailler votre qu’une seule et unique fois dans chaque rangée, colonne
et carré de 4 x 4 cases (délimités par un filet gras).
matière grise d’une autre façon : attrapez un crayon
pour remplir la grille d’hexadoku. Certains chiffres, déjà placés dans la grille, en définissent la
situation de départ.
N’oubliez pas d’envoyer votre réponse pour tenter de
Pour participer, inutile de nous envoyer toute la grille, il
gagner un bon d’achat. suffit de nous donner la série de chiffres sur fond grisé.

Participez et gagnez !
Nous tirons au sort cinq des réponses internationales correctes reçues
dans les délais ; leurs auteurs recevront chacun un bon d’achat Elektor
d’une valeur de 50 €. À vos crayons !

Où envoyer votre réponse ?


Envoyez votre réponse (les chiffres sur fond grisé)
avec vos coordonnées par courriel,
avant le 21 septembre 2018 à l’adresse [email protected]

Les gagnants
La solution de la grille du numéro de jullet/août 2018 est E3B9F.
Les cinq bons Elektor d’une valeur de 50 € vont à :
Tiago Ferreira (Portugal), Nico Kirchhorf (Allemagne), Casimir Schmid (Suisse),
J.W. van Tuijl (Pays-Bas) et Steven Venter (Afrique du Sud).

Bravo à tous les participants et félicitations aux gagnants !

Tout recours est exclu, de même que le sont, de ce jeu, les personnels d’Elektor International Media et leur famille. Un seul gagnant par foyer.

114 septembre/octobre 2018 www.elektormagazine.fr


Plus de 45 ans d‘expérience
Grande disponibilité et
livraison garantie
Plus de 90 000 produits

L E D E RN D lab
E INDUS TR IEL
I
MÉTROLOG ES. S M A RT R E S ULTS.
A L IT Y. LOW PRIC
H IG H Q U
NCV
Multimètre TRMS, 6000 counts NON CONTACT
VOLTAGE

Ce multimètre professionnel est particulièrement convaincant


par sa carcasse robuste qui répond aux exigences industrielles. EN
61010-1
Il séduit d’autant plus par son large éventail de fonctions, CAT III
sa grande précision et la fiabilité de ses mesures. 1000 V

EN
Jusqu’à 1000 V AC/DC (± 0,5 % + 2 digits) 61010-1
CAT IV
Détection de tension sans contact 600 V

Large afficheur à segments analogique


avec rétro-éclairage à LED blanc
Fonction intelligente de sauvegarde
des données Référence : RND 355-00003
55-0000

BON
95, 89
AutoVolt : sélection automatique
de tension AC/DC
PLAN (€ 79,91)

Pince ampère- Testeur de tension sans contact


métrique AC/DC Quand la tension est mesurée, la LED rouge à la pointe du
Pour conducteurs jusqu’à un détecteur s’allume brièvement et un bref signal sonore retentit.
diamètre de 22 mm Pour mesurer de 100 à 1000 V AC EN
VoltSense pour la détection Identification des phases des lignes 61010-1

de contact sans tension AC 3-phasées, câbles multiconducteurs CAT IV


600 V
et prises électriques Référence :
Affichage de 6000 counts
Permet de mesurer des
RND 365-00003 EN
Jusqu’à 300 A AC/DC, 61010-1
jusqu’à 600 V AC/DC
Afficheur avec
câbles et conducteurs isolés
17,24 (€ 14,36)
CAT III
1000 V

rétro-éclairage

Idéal pour mesurer des composants SMD !

NCV Rlc-mètre, 20000 counts


IS
SQU’À 2,5 FO
MESURE JU
NON CONTACT L’interrupteur à bascule permet d’effectuer les
VOLTAGE
mesures sans difficulté d’une seule main. DE !
EN À LA SECON
61010-1 Pile Li-Ion intégrée (autonomie jusqu’à 20 h)
CAT III Résistance : jusqu’à 200 MΩ (résolution 1 mΩ)
600 V
Capacité : jusqu’à 20 mF (résolution 0,001 pF)
Référence : RND 365-00002

146,31 (€ 121,93)

Référence : RND 365-00005

BON Qualité supérieure, prix compétitifs,


80, 81
(€ 67,34)
PLAN
TECHNIQUE
résultats fiables. Découvrez RND lab ►

Prix du jour! Prix à la date du: 24. 7. 2018 Les langues de notre boutique:

www.reichelt.fr
Les réglementations légales en matière de résiliation sont applicables. Tous les prix sont indiqués en € TVA
légale incluse, frais d‘envoi pour l‘ensemble du panier en sus. Seules nos CGV sont applicables (sur le site
https://rch.lt./CG-FR ou sur demande). Semblables aux illustrations. Sous réserve de coquilles, d’erreurs et
de modifications de prix.
reichelt elektronik GmbH & Co. KG, Elektronikring 1, 26452 Sande (Allemagne), tél. +33 97 518 03 04 ASSISTANCE TÉLÉPHONIQUE: +33 97 518 03 04
Salon de l’innovation en électronique,
mesure, vision et optique

PARIS
Paris expo
Porte de Versailles

23 -24
OCTOBRE
2018

PRENEZ DE L’AVANCE
SUR LE FUTUR
Votre badge gratuit sur www.enova-event.com

LYON TOULOUSE PARIS


7-8 FÉVRIER 30-31 MAI 23-24 OCTOBRE
2018

Vous aimerez peut-être aussi