VHDL

Descargar como pdf o txt
Descargar como pdf o txt
Está en la página 1de 27

Parcial primer corte de embebidos 2

Julio Mario Mora Camperos

Universidad de Pamplona

Facultade de ingenierías y arquitectura

2021

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 1
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
1. En esta aplicación empleando sistemas digitales, se ejecuta la lógica de control de un
sistema digital que permite controlar el fluido que hay en un tanque de almacenamiento.
El objetivo de la lógica es mantener un nivel adecuado de fluido manipulando las válvulas
de entrada y de salida. La lógica también tiene que controlar la temperatura del fluido en
un determinado rango y activar una alarma si el sensor de nivel o el sensor de temperatura
falla. Las salidas de control del sistema controlan la entrada de fluido, la salida de fluido y
la temperatura del mismo. El control actúa sobre una válvula de entrada que permite que
el fluido entre en el tanque hasta que el sensor de nivel alto se activa al quedar sumergido
en el fluido.

Cuando el sensor de nivel alto está sumergido (activado) la lógica de control cierra la
válvula de entrada. El fluido contenido en el tanque debe mantenerse dentro de un rango
de temperatura especificado, el cual queda determinado por dos sensores de
temperatura. Uno de los sensores de temperatura indica si el fluido está demasiado
caliente y el otro si el fluido está demasiado frío. La lógica de control activa un dispositivo
de calefacción si los sensores de temperatura indican que el fluido está demasiado frío.
La lógica de control mantiene abierta la válvula de salida siempre que el sensor de nivel
bajo esté sumergido y el fluido se encuentre a la temperatura adecuada. Cuando el nivel
de fluido cae por debajo del sensor de nivel bajo, la lógica de control cierra la válvula de
salida. Los niveles máximo y mínimo de fluido quedan determinados por las posiciones
de los sensores de nivel del tanque.

La salida de cada sensor estará a nivel ALTO mientras que esté sumergido en el fluido y
estará a nivel BAJO cuando no quede sumergido. Cuando la salida del sensor de nivel
alto está a nivel BAJO, la lógica de control genera un nivel ALTO y abre la válvula de
entrada. Cuando la salida del sensor de nivel alto está a nivel ALTO, la lógica de control
genera un nivel BAJO y cierra la válvula de entrada. Para dar apertura a la válvula de
salida, el fluido debe estar dentro del rango de temperatura especificado.

Un sensor produce un nivel ALTO cuando el fluido está muy caliente y el otro sensor de
temperatura produce un nivel ALTO cuando la temperatura es muy baja. El control lógico
produce un nivel ALTO para activar el dispositivo de calefacción cuando se tiene la
indicación de temperatura baja; en caso contrario, el dispositivo de calefacción está
apagado.

Cuando aparece la condición de temperatura alta, se activa una alarma. Cuando el sensor
de nivel bajo genera una salida a nivel ALTO (lo que indica que está sumergido) y la salida
de los dos sensores de temperatura están a nivel BAJO (lo que indica que el fluido está a

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 2
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
la temperatura correcta), el control abre la válvula de salida. Si la salida del sensor de
nivel bajo pasa a nivel BAJO o si las salidas de los sensores de temperatura pasan a nivel
ALTO, el control cierra la válvula de salida. Si el control detecta un fallo o avería en
cualquiera de los sensores o una temperatura muy alta, se enciende una alarma. Un fallo
en un sensor de nivel se produce cuando el sensor de nivel alto está activo y el sensor de
nivel bajo no lo está. Un fallo en un sensor de temperatura se indica mediante la activación
de los dos sensores a un mismo tiempo.

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 3
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
LH LL TH TL Ven Vsa H A
(A) (B) (C) (D) (X) (Y) (Z) (W)
0 0 0 0 0 1 0 0 0
1 0 0 0 1 1 0 1 0
2 0 0 1 0 1 0 0 1
3 0 0 1 1 0 0 0 1
4 0 1 0 0 1 1 0 0
5 0 1 0 1 1 0 1 0
6 0 1 1 0 1 0 0 1
7 0 1 1 1 0 0 0 1
8 1 0 0 0 0 0 0 1
9 1 0 0 1 0 0 0 1
10 1 0 1 0 0 0 0 1
11 1 0 1 1 0 0 0 1
12 1 1 0 0 0 1 0 0
13 1 1 0 1 0 0 1 0
14 1 1 1 0 0 0 0 1
15 1 1 1 1 0 0 0 1

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 4
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
LH LL TH TL Ven
(A) (B) (C) (D) (X)
0 0 0 0 0 1 A’B’C’D’
1 0 0 0 1 1 A’B’C’D
2 0 0 1 0 1 A’B’CD’
3 0 0 1 1 0
4 0 1 0 0 1 A’BC’D’
5 0 1 0 1 1 A’BC’D
6 0 1 1 0 1 A’BCD’
7 0 1 1 1 0
8 1 0 0 0 0
9 1 0 0 1 0
10 1 0 1 0 0
11 1 0 1 1 0
12 1 1 0 0 0
13 1 1 0 1 0
14 1 1 1 0 0
15 1 1 1 1 0

X = A'B'C'D'+A'B'C'D+A'B'CD'+A'BC'D'+A'BC'D+A'BCD'

C’D’
C’D CD CD’
1 1
A’B’ 1 0

A’B 1 1 0 1

AB 0 0 0 0

AB’ 0 0 0 0

X = A'C'+A'D'

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 5
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
LH LL TH TL Vsa
(A) (B) (C) (D) (Y)
0 0 0 0 0 0
1 0 0 0 1 0
2 0 0 1 0 0
3 0 0 1 1 0
4 0 1 0 0 1 A’BC’D’
5 0 1 0 1 0
6 0 1 1 0 0
7 0 1 1 1 0
8 1 0 0 0 0
9 1 0 0 1 0
10 1 0 1 0 0
11 1 0 1 1 0
12 1 1 0 0 1 ABC’D’
13 1 1 0 1 0
14 1 1 1 0 0
15 1 1 1 1 0
Y= A'BC'D'+ABC'D'

C’D’ C’D CD CD’

A’B’ 0 0 0 0

A’B 1 0 0 0

AB 1 0 0 0

AB’ 0 0 0 0

Y=BC'D'

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 6
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
LH LL TH TL H
(A) (B) (C) (D) (Z)
0 0 0 0 0 0
1 0 0 0 1 1 A’B’C’D
2 0 0 1 0 0
3 0 0 1 1 0
4 0 1 0 0 0
5 0 1 0 1 1 A’BC’D
6 0 1 1 0 0
7 0 1 1 1 0
8 1 0 0 0 0
9 1 0 0 1 0
10 1 0 1 0 0
11 1 0 1 1 0
12 1 1 0 0 0
13 1 1 0 1 1 ABC’D
14 1 1 1 0 0
15 1 1 1 1 0
Z=A'B'C'D+A'BC'D+ABC'D

C’D’ C’D CD CD’

A’B’ 0 1 0 0

A’B 0 1 0 0

AB 0 1 0 0

AB’ 0 0 0 0

Z=A'C'D+BC'D

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 7
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
LH LL TH TL A
(A) (B) (C) (D) (W)
0 0 0 0 0 0
1 0 0 0 1 0
2 0 0 1 0 1 A’B’CD’
3 0 0 1 1 1 A’B’CD
4 0 1 0 0 0
5 0 1 0 1 0
6 0 1 1 0 1 A’BCD’
7 0 1 1 1 1 A’BCD
8 1 0 0 0 1 AB’C’D’
9 1 0 0 1 1 AB’C’D
10 1 0 1 0 1 AB’CD’
11 1 0 1 1 1 AB’CD
12 1 1 0 0 0
13 1 1 0 1 0
14 1 1 1 0 1 ABCD’
15 1 1 1 1 1 ABCD

W=A'B'CD'+A'B'CD+A'BCD'+A'BCD+AB'C'D'+AB'C'D+AB'CD'+AB'CD+ABCD'+ABCD

C’D’ C’D CD CD’

A’B’ 0 0 1 1

A’B 0 0 1 1

AB 0 0 1 1

AB’ 1 1 1 1

W=AB'+C
“Formando líderes para la construcción de un nuevo país en paz”
Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 8
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
X = A'C'+A'D'

Y=BC'D'

Z=A'C'D+BC'D

W=AB'+C

Código fuente desing source

---INCLUCION DE LIBRERIAS

library IEEE; --- CREACION DE LA ENTIDAD LLAMADA PUNTO 1

use IEEE.STD_LOGIC_1164.ALL; entity PUNTO1 is

library std;

use std.standard.all; ---ASIGNACION DE LAS SALIDAS Y ENTRADAS A SUS


VARIABLES
library work;
Port (
use work.all;

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 9
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
A: in std_logic; ---PROGRAMACION

B: in std_logic; begin

C: in std_logic; ---X = A'C'+A'D'

D: in std_logic; X <= ((not A)and(not C))or((not A)and (not D));

X: out std_logic; ---Y=BC'D'

Y: out std_logic; Y <= B and (not C) and (not D);

Z: out std_logic; ---Z=A'C'D+BC'D

W: out std_logic); Z <= ((not A)and(not C) and D) or (B and (not C) and D);

end PUNTO1; ---W=AB'+C

W <= (A and (not B))or C;

--- ARQUITECTURA

architecture P1 of PUNTO1 is end P1;

Código de la simulación B: in std_logic;

C: in std_logic;
---LIBRERIAS
D: in std_logic;
library IEEE;
X: out std_logic;
use IEEE.STD_LOGIC_1164.ALL;
Y: out std_logic;
---ENTIDAD
Z: out std_logic;
entity SIMULACION is
W: out std_logic);

end component;
end SIMULACION;

---ARQUITECTURA
---SENALES LOGICAS PARA LA SIMULACION
architecture SIMULACION1 of SIMULACION is
signal AI,BI,CI,DI,XO,YO,ZO,WO :std_logic;
---CREACION DEL COMPONENTE

component PUNTO1 is
begin
---VARIABLES LOGICAS
---ASIGNACION DE LAS SENALES A LAS VARIABLES
Port (
dut: PUNTO1 PORT MAP(
A: in std_logic;

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 10
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
A => AI, AI <= '0';

B => BI, BI <= '0';

C => CI, CI <= '1';

D => DI, DI <= '0';

X => XO, ---Espera 50 nanosegundos

Y => YO, WAIT FOR 50 ns;

Z => ZO,

W => WO); ---0011--3

AI <= '0';

ESTIMULOS: PROCESS BI <= '0';

---SIMULACION BINARIA CI <= '1';

BEGIN DI <= '1';

---0000--0 ---Espera 50 nanosegundos

AI <= '0'; WAIT FOR 50 ns;

BI <= '0';

CI <= '0'; ---0100--4

DI <= '0'; AI <= '0';

---Espera 50 nanosegundos BI <= '1';

WAIT FOR 50 ns; CI <= '0';

DI <= '0';

---0001--1 ---Espera 50 nanosegundos

AI <= '0'; WAIT FOR 50 ns;

BI <= '0';

CI <= '0'; ---0101--5

DI <= '1'; AI <= '0';

---Espera 50 nanosegundos BI <= '1';

WAIT FOR 50 ns; CI <= '0';

DI <= '1';

---0010--2 ---Espera 50 nanosegundos

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 11
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
WAIT FOR 50 ns; CI <= '0';

DI <= '1';

---0110--6 ---Espera 50 nanosegundos

AI <= '0'; WAIT FOR 50 ns;

BI <= '1';

CI <= '1'; ---1010--10

DI <= '0'; AI <= '1';

---Espera 50 nanosegundos BI <= '0';

WAIT FOR 50 ns; CI <= '1';

DI <= '0';

---0111--7 ---Espera 50 nanosegundos

AI <= '0'; WAIT FOR 50 ns;

BI <= '1';

CI <= '1'; ---1011--11

DI <= '1'; AI <= '1';

---Espera 50 nanosegundos BI <= '0';

WAIT FOR 50 ns; CI <= '1';

DI <= '1';

---1000--8 ---Espera 50 nanosegundos

AI <= '1'; WAIT FOR 50 ns;

BI <= '0';

CI <= '0'; ---1100--12

DI <= '0'; AI <= '1';

---Espera 50 nanosegundos BI <= '1';

WAIT FOR 50 ns; CI <= '0';

DI <= '0';

---1001--9 ---Espera 50 nanosegundos

AI <= '1'; WAIT FOR 50 ns;

BI <= '0';

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 12
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
---1101--13 WAIT FOR 50 ns;

AI <= '1';

BI <= '1'; ---0111--15

CI <= '0'; AI <= '1';

DI <= '1'; BI <= '1';

---Espera 50 nanosegundos CI <= '1';

WAIT FOR 50 ns; DI <= '1';

---Espera 50 nanosegundos

---1110--14

AI <= '1'; wait;

BI <= '1';

CI <= '1'; end process;

DI <= '0';

---Espera 50 nanosegundos end SIMULACION1;

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 13
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
Desarrollar un circuito decodificador binario a 7 segmentos y su correspondiente código en VHDL
que muestre la salida de encendido para los números dígitos con su correspondiente valor binario
y los caracteres de los nombres de los integrantes con los valores binarios restantes de la tabla
de verdad, para las demás condiciones restantes emplear condición no importa

A B C D Sa Sb Sc Sd Se Sf Sg
0 0 0 0 0 1 1 1 1 1 1 0
1 0 0 0 1 0 1 1 0 0 0 0
2 0 0 1 0 1 1 0 1 1 0 1
3 0 0 1 1 1 1 1 1 0 0 1
4 0 1 0 0 0 1 1 0 0 1 1
5 0 1 0 1 1 0 1 1 0 1 1
6 0 1 1 0 1 0 1 1 1 1 1
7 0 1 1 1 1 1 1 0 0 0 0
8 1 0 0 0 1 1 1 1 1 1 1
9 1 0 0 1 1 1 1 1 0 1 1
10 1 0 1 0 J 0 1 1 1 1 0 0
11 1 0 1 1 U 0 1 1 1 1 1 0
12 1 1 0 0 L 0 0 0 1 1 1 0
13 1 1 0 1 I 0 0 0 0 1 1 0
14 1 1 1 0 O 1 1 1 1 1 1 0
15 1 1 1 1 X X X X X X X

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 14
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
Para Sa:

A B C D Sa
0 0 0 0 0 1 A’B’C’D’
1 0 0 0 1 0
2 0 0 1 0 1 A’B’CD’
3 0 0 1 1 1 A’B’CD
4 0 1 0 0 0
5 0 1 0 1 1 A’BC’D
6 0 1 1 0 1 A’BCD’
7 0 1 1 1 1 A’BCD
8 1 0 0 0 1 AB’C’D’
9 1 0 0 1 1 AB’C’D
10 1 0 1 0 0
11 1 0 1 1 0
12 1 1 0 0 0
13 1 1 0 1 0
14 1 1 1 0 1 ABCD’
15 1 1 1 1 X

Sa = A’B’C’D’+ A’B’CD’+ A’B’CD+ A’BC’D+ A’BCD’+ A’BCD+ AB’C’D’+ AB’C’D+ ABCD’

C’D’ C’D CD CD’

A’B’ 1 0 1 1

A’B 0 1 1 1

AB 0 0 0 1

AB’ 1 1 0 0

Sa= A'B'D'+A'BD+A'C+AB'C'+BCD'

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 15
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
Para Sb

A B C D Sb
0 0 0 0 0 1 A’B’C’D’
1 0 0 0 1 1 A’B’C’D
2 0 0 1 0 1 A’B’CD’
3 0 0 1 1 1 A’B’CD
4 0 1 0 0 1 A’BC’D’
5 0 1 0 1 0
6 0 1 1 0 0
7 0 1 1 1 1 A’BCD
8 1 0 0 0 1 AB’C’D’
9 1 0 0 1 1 AB’C’D
10 1 0 1 0 1 AB’CD’
11 1 0 1 1 1 AB’CD
12 1 1 0 0 0
13 1 1 0 1 0
14 1 1 1 0 1 ABCD’
15 1 1 1 1 X

Sb= A’B’C’D’+ A’B’C’D+ A’B’CD’+ A’B’CD+ A’BC’D’+ A’BCD+ AB’C’D’+ AB’C’D+ AB’CD’ +AB’CD+
ABCD’

C’D’ C’D CD CD’

A’B’ 1 1 1 1

A’B 1 0 1 0

AB 0 0 0 1

AB’ 1 1 1 1

Sb=A'C'D'+A'CD+B'+ACD'

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 16
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
Para Sc

A B C D Sc
0 0 0 0 0 1 A’B’C’D’
1 0 0 0 1 1 A’B’C’D
2 0 0 1 0 0
3 0 0 1 1 1 A’B’CD
4 0 1 0 0 1 A’BC’D’
5 0 1 0 1 1 A’BC’D
6 0 1 1 0 1 A’BCD’
7 0 1 1 1 1 A’BCD
8 1 0 0 0 1 AB’C’D’
9 1 0 0 1 1 AB’C’D
10 1 0 1 0 1 AB’CD’
11 1 0 1 1 1 AB’CD
12 1 1 0 0 0
13 1 1 0 1 0
14 1 1 1 0 1 ABCD’
15 1 1 1 1 X

Sc= A'B'C'D'+A'B'C'D+A'B'CD+A'BC'D'+A'BC'D+A'BCD'+A'BCD+AB'C'D'+AB'C'D+AB'CD'+AB'CD+ABCD'

C’D’ C’D CD CD’

A’B’ 1 1 1 0

A’B 1 1 1 1

AB 0 0 0 1

AB’ 1 1 1 1

Sc=A’C’+A’D+AB’+BCD’

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 17
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
Para Sd

A B C D Sd
0 0 0 0 0 1 A’B’C’D’
1 0 0 0 1 0
2 0 0 1 0 1 A’B’CD’
3 0 0 1 1 1 A’B’CD
4 0 1 0 0 0
5 0 1 0 1 1 A’BC’D
6 0 1 1 0 1 A’BCD’
7 0 1 1 1 0
8 1 0 0 0 1 AB’C’D’
9 1 0 0 1 1 AB’C’D
10 1 0 1 0 1 AB’CD’
11 1 0 1 1 1 AB’CD
12 1 1 0 0 1 ABC’D’
13 1 1 0 1 0
14 1 1 1 0 1 ABCD’
15 1 1 1 1 X

Sd= A'B'C'D'+A'B'CD'+A'B'CD+A'BC'D+A'BCD'+AB'C'D'+AB'C'D+AB'CD'+AB'CD+ABC'D'+ABCD'

C’D’ C’D CD CD’

A’B’ 1 0 1 1

A’B 0 1 0 1

AB 1 0 0 1

AB’ 1 1 1 1

Sd=A'BC'D+AB'+B'D'+B'C+AD'+CD'

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 18
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
Para Se

A B C D Se
0 0 0 0 0 1 A’B’C’D’
1 0 0 0 1 0
2 0 0 1 0 1 A’B’CD’
3 0 0 1 1 0
4 0 1 0 0 0
5 0 1 0 1 0
6 0 1 1 0 1 A’BCD’
7 0 1 1 1 0
8 1 0 0 0 1 AB’C’D’
9 1 0 0 1 0
10 1 0 1 0 1 AB’CD’
11 1 0 1 1 1 AB’CD
12 1 1 0 0 1 ABC’D’
13 1 1 0 1 1 ABC’D
14 1 1 1 0 1 ABCD’
15 1 1 1 1 X

Se= A'B'C'D'+A'B'CD'+A'BCD'+AB'C'D'+AB'CD'+AB'CD+ABC'D'+ABC'D+ABCD'

C’D’ C’D CD CD’

A’B’ 1 0 0 1

A’B 0 0 0 1

AB 1 1 0 1

AB’ 1 0 1 1

Se=B'D'+AB'C+ABC'+CD'

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 19
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
Para Sf

A B C D Sf
0 0 0 0 0 1 A’B’C’D’
1 0 0 0 1 0
2 0 0 1 0 0
3 0 0 1 1 0
4 0 1 0 0 1 A’BC’D’
5 0 1 0 1 1 A’BC’D
6 0 1 1 0 1 A’BCD’
7 0 1 1 1 0
8 1 0 0 0 1 AB’C’D’
9 1 0 0 1 1 AB’C’D
10 1 0 1 0 0
11 1 0 1 1 1 AB’CD
12 1 1 0 0 1 ABC’D’
13 1 1 0 1 1 ABC’D
14 1 1 1 0 1 ABCD’
15 1 1 1 1 X

Sf= A'B'C'D'+A'BC'D'+A'BC'D+A'BCD'+AB'C'D'+AB'C'D+AB'CD+ABC'D'+ABC'D+ABCD'

C’D’ C’D CD CD’

A’B’ 1 0 0 0

A’B 1 1 0 1

AB 1 1 0 1

AB’ 1 1 1 0

Sf=AB'D+C'D'+BC'+BD'

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 20
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
Para Sg

A B C D Sg
0 0 0 0 0 0
1 0 0 0 1 0
2 0 0 1 0 1 A’B’CD’
3 0 0 1 1 1 A’B’CD
4 0 1 0 0 1 A’BC’D’
5 0 1 0 1 1 A’BC’D
6 0 1 1 0 1 A’BCD’
7 0 1 1 1 0
8 1 0 0 0 1 AB’C’D’
9 1 0 0 1 1 AB’C’D
10 1 0 1 0 0
11 1 0 1 1 0
12 1 1 0 0 0
13 1 1 0 1 0
14 1 1 1 0 0
15 1 1 1 1 0

Sg= A'B'CD'+A'B'CD+A'BC'D'+A'BC'D+A'BCD'+AB'C'D'+AB'C'D

C’D’ C’D CD CD’

A’B’ 0 0 1 1

A’B 1 1 0 1

AB 0 0 0 0

AB’ 1 1 0 0

Sg=A'B'C+A'BC'+A'CD'+AB'C'

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 21
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
Salidas por segmento

Sa= A'B'D'+A'BD+A'C+AB'C'+BCD'

Sb=A'C'D'+A'CD+B'+ACD'

Sc=A’C’+A’D+AB’+BCD’

Sd=A'BC'D+AB'+B'D'+B'C+AD'+CD'

Se=B'D'+AB'C+ABC'+CD'

Sf=AB'D+C'D'+BC'+BD'

Sg=A'B'C+A'BC'+A'CD'+AB'C'

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 22
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
Código del source desing ---CÓDIGO DE PROGRAMACION POR
SEGMENTO
---INCLUIR LIBRERIAS
begin
library IEEE;
---Sa= A'B'D'+A'BD+A'C+AB'C'+BCD'
use IEEE.STD_LOGIC_1164.ALL;
SA <= ((not A)and(not B)and(not D))or((not A)and B
library std; and D)or((not A)and C)or(A and (not B)and(not
C))or(B and C and (not D));
use std.standard.all;
---Sb=A'C'D'+A'CD+B'+ACD'
library work;
SB <= ((not A)and(not C)and(not D)) or ((not A)and C
use work.all; and D) or (not B) or (A and C and (not D));

---ENTIDAD ---Sc=A’C’+A’D+AB’+BCD’

entity PUNTO2 is SC <= ((not A)and(not C))or((not A)and D)or(A and


(not B))or(B and C and (not D));
Port (
---Sd=A'BC'D +AB' +B'D'+B'C+AD'+CD'
---CREACION DE PUERTOS
SD <= ((not A)and B and (not C)and D) or (A and (not
A: in std_logic; B))or((not B)and(not D))or((not B)and C)or(A and
(not D))or(C and (not D));
B: in std_logic;
---Se=B'D'+AB'C+ABC'+CD'
C: in std_logic;
SE <= ((not B)and(not D))or(A and(not B)and C)or(A
D: in std_logic; and B and (not C))or (C and (not D));
SA: out std_logic; ---Sf=AB'D+C'D'+BC'+BD'
SB: out std_logic; SF <= (A and (not B) and D)or((not C)and (not
D))or(B and (not C))or(B and (not D));
SC: out std_logic;
---Sg=A'B'C+A'BC'+A'CD'+AB'C'
SD: out std_logic;
SG <= ((not A)and (not B)and C)or((not A)and B
SE: out std_logic;
and(not C))or((not A)and C and(not D))or(A and (not
SF: out std_logic; B)and (not C));

SG: out std_logic); end P2;

end PUNTO2;

architecture P2 of PUNTO2 is

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 23
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
Código de simulación

---LIBRERIAS ---CREACION DE LAS SENALES BINARIAS DE ENTRADA Y


SALIDA
library IEEE;
signal AI,BI,CI,DI,OSA,OSB,OSC,OSD,OSE,OSF,OSG
use IEEE.STD_LOGIC_1164.ALL; :std_logic;

---ENTIDAD

entity SIM2 is begin

---ASIGNACION DE LAS SEÑALES A LAS VARIABLES

end SIM2; DUT: PUNTO2 port map(

---ARQUITECTURA A => AI,

architecture Behavioral of SIM2 is B => BI,

C => CI,

---CREACION DEL COMPONENTE D => DI,

component PUNTO2 is SA => OSA,

---ASIGNACION DE LAS VARIABLES TIPO BINARIA SB => OSB,

Port( SC => OSC,

A: in std_logic; SD => OSD,

B: in std_logic; SE => OSE,

C: in std_logic; SF => OSF,

D: in std_logic; SG => OSG);

SA: out std_logic; ESTIMULOS: PROCESS

SB: out std_logic; ---ENTRADAS DIGITALES

SC: out std_logic;

SD: out std_logic; BEGIN

SE: out std_logic; ---0000--0

SF: out std_logic; AI <= '0';

SG: out std_logic); BI <= '0';

end component; CI <= '0';

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 24
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
DI <= '0'; AI <= '0';

---Espera 50 nanosegundos BI <= '1';

WAIT FOR 50 ns; CI <= '0';

DI <= '0';

---0001--1

AI <= '0'; ---Espera 50 nanosegundos

BI <= '0'; WAIT FOR 50 ns;

CI <= '0';

DI <= '1'; ---0101--5

---Espera 50 nanosegundos AI <= '0';

WAIT FOR 50 ns; BI <= '1';

CI <= '0';

---0010--2 DI <= '1';

AI <= '0'; ---Espera 50 nanosegundos

BI <= '0'; WAIT FOR 50 ns;

CI <= '1';

DI <= '0'; ---0110--6

---Espera 50 nanosegundos AI <= '0';

WAIT FOR 50 ns; BI <= '1';

CI <= '1';

---0011--3 DI <= '0';

AI <= '0'; ---Espera 50 nanosegundos

BI <= '0'; WAIT FOR 50 ns;

CI <= '1';

DI <= '1'; ---0111--7

---Espera 50 nanosegundos AI <= '0';

WAIT FOR 50 ns; BI <= '1';

CI <= '1';

---0100--4 DI <= '1';

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 25
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
---Espera 50 nanosegundos BI <= '0';

WAIT FOR 50 ns; CI <= '1';

DI <= '1';

---1000--8 ---Espera 50 nanosegundos

AI <= '1'; WAIT FOR 50 ns;

BI <= '0';

CI <= '0'; ---1100--12

DI <= '0'; AI <= '1';

---Espera 50 nanosegundos BI <= '1';

WAIT FOR 50 ns; CI <= '0';

DI <= '0';

---1001--9 ---Espera 50 nanosegundos

AI <= '1'; WAIT FOR 50 ns;

BI <= '0';

CI <= '0'; ---1101--13

DI <= '1'; AI <= '1';

---Espera 50 nanosegundos BI <= '1';

WAIT FOR 50 ns; CI <= '0';

DI <= '1';

---1010--10 ---Espera 50 nanosegundos

AI <= '1'; WAIT FOR 50 ns;

BI <= '0';

CI <= '1'; ---1110--14

DI <= '0'; AI <= '1';

---Espera 50 nanosegundos BI <= '1';

WAIT FOR 50 ns; CI <= '1';

DI <= '0';

---1011--11 ---Espera 50 nanosegundos

AI <= '1'; WAIT FOR 50 ns;

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 26
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co
wait;

---0111--15

AI <= '1'; end process;

BI <= '1';

CI <= '1';

DI <= '1';

---Espera 50 nanosegundos end Behavioral;

“Formando líderes para la construcción de un nuevo país en paz”


Universidad de Pamplona
Pamplona - Norte de Santander - Colombia 27
Tels: (7) 5685303 - 5685304 - 5685305 - Fax: 5682750
SC-CER96940 www.unipamplona.edu.co

También podría gustarte