Evolution of Finfets From 22Nm To 7Nm: September 2019
Evolution of Finfets From 22Nm To 7Nm: September 2019
Evolution of Finfets From 22Nm To 7Nm: September 2019
net/publication/336242413
CITATIONS READS
0 891
1 author:
Veljko Vukicevic
TU Wien
1 PUBLICATION 0 CITATIONS
SEE PROFILE
Some of the authors of this publication are also working on these related projects:
All content following this page was uploaded by Veljko Vukicevic on 03 October 2019.
Evolution of FinFETs
from 22nm to 7nm
Veljko Vukicevic
1528008
September 2019
Figure 1. : The number of transistors on a chip through the years with the logarithmic vertical axis [2]
Some physical phenomena, that used to have a small importance in large-dimension MOSFETs,
are now crucial for the behavior of MOSFETs in the nanometer scale, many of them grouped under the
common name – short channel effect. The leading candidate to replace the planar MOSFET became the
FinFET [3]. Also known as self-aligned double-gate MOSFET, FinFET has a vertical multi-gate structure that
allows superior electrostatics over gate control, thus reducing the short channel effect and allowing the
VLSI (very large scale integration) to continue. The name FinFET comes from a vertical fin-like channel that
Bearing all that in mind, this paper will investigate the four different FinFET technology nodes:
22nm, 14nm, 10nm and 7nm. The start point for this research are the TCAD models as well as the
accompanying documentation of the mentioned transistors provided by Synopsys’s SentaurusTM [4-7]. In
addition to physical properties, the properties of the model itself will also be discussed. There are
numerous differences to conventional models that have to be taken into account when simulating on such
a small scale objects, because some of the simplifications applied on the larger scale transistors are now
producing significantly bigger errors compared to empirical results. This subject will be covered but to a
smaller extent than the physical features. Starting with the 22nm node, we will go through most of the
crucial technological features that make the FinFET structure possible.
Figure 2. General structure of FinFET (left) and cross-section with active dopant concentration (right)
Other example of how the transistors have evolved through the years are their different doping
profiles. Source and drain regions have kept a pretty similar doping concentration through the years, with
the main focus of keeping the steep doping profile (no diffusion into channel region). The most interesting
advancement is in the channel doping concentration. With the constant downsize of the channel
dimensions, smaller number of dopants are required to achieve the same concentration. The number of
dopants became so small that their behavior is not statistically predictable anymore, which brought
unpredictable variations in the transistors performance like threshold voltage variations for example.
Channel dopants became an error source, so a need for an undoped channel region arose [46]. This was
achieved in the last two technology nodes (1e+15 is considered a background concentration). It is also
noticeable that they have a so called channel stop region underneath the channel (22 and 14 nm FinFETs
have the same concentration in both regions so there is actually no channel stop region). These region
should limit the spread of the channel area and prevent the formation of parasitic inversion channel
regions.
Figure 3. Extension resistance as a function of fin width for pMOS and nMOS (with and without SEG) [8]
That is why different extension strategies have been tested using the 25nm nMOS with the goal
of recovering the implant damage [9]. As an example, two strategies with different implant and anneal
conditions have been compared and represented in Fig. 4. With the optimized conditions in process B, the
damage due to implantation has been mostly recovered. This improvement did not only reduce the
extension resistance, but the drain-induced barrier lowering (DIBL) and Ion-Ioff ratio have also been
improved.
The fin width (Wfin) and height (Hfin) are also directly proportional to threshold voltage roll-off with
the Vth decreasing with the increase of width and height. The ratio between these two dimensions should
be reasonable in a way that the produced shape is actually fin-like. If the fin width is much larger than the
fin height, the device exhibits characteristics much closer to the planar MOSFET [10]. The simulated data
in [10] suggests Vth roll-off saturation with the Hfin increase. The critical height needed for the saturation
depends on the fin width so that larger Wfin requires larger Hfin for the saturation to occur.
Furthermore, the dependence between short-channel effects and cross-sectional channel shape
(ergo fin shape) have been investigated [11]. Experiment included three different fin shapes: rectangular,
triangular and trapezoidal that were achieved using the same wet etching process. The rectangular-cross-
section channel device showed almost ideal subthreshold slope, where in the trapezoidal- and triangular-
cross-section-channel devices an increase in subthreshold slope and off current were observed. But it is
believed that the increase comes from the increase of the fin width, so these results are considered
inconclusive. The rectangular cross-section is usually avoided because of the unwanted corner effects in
the fin. Corner effect occurs because of the higher electrical field density in the corners of the fin, causing
the premature inversion. That means that corners have lower threshold voltage than the rest of the fin,
creating independent channels with different threshold voltages [12]. This effect can be observed as a
“hump” on a subthreshold slope. The 22nm TCAD model does not investigate different fin shapes, but
rather uses fixed values. Fin width is 17nm and the fin height 40nm.The influence of different fin shapes
will be further discussed on the following nodes.
There are a lot of materials that have a much higher dielectric value than SiO2 (its dielectric constant
is 3.9), but there are several issues that have to be taken into account when choosing the appropriate
gate insulator that make the choice much harder. High dielectric constant insulators must be stable in
contact with Silicon and gate electrode, as well as thermodynamically and chemically stable so they can
endure the manufacturing process without altering their composition. Moreover, the band gap has to be
proportionally high for electrons as well as holes, so that the insulation works on both type of transistors
[18]. Considering all of the requirements hafnium-based dielectric insulators have become the industry
standard and the FinFET model used in this project is implemented with HfO2 dielectrics. Several problems
have been reported regarding the Si/HfO2 interface, like the decrease in the carrier mobility and creation
of defects that can change the characteristics of the transistor. A thin interfacial SiO2 layer is grown
between these two materials in order to prevent these unwanted effects [18]. It is also worth mentioning
that the HfO2 is much stiffer then the SiO2, what degrades the level of the stress enhancement that can
be applied to the gate.
In addition to this innovation, the gate material itself has also been changed. Namely, the previously
used Poly-Si gate can lead to variation of threshold voltage due to gate depletion effect so the metal gate
has been reintroduced. One of the reasons why polysilicon was used in the first place was the favorable
poly-Si/SiO2 interface, but with the SiO2 gone, metal gate became the leading candidate to replace the
poly-Si. The metal gate candidates must be thermally and chemically stable in contact with the high-k
layer, but also their work function must be compatible to Si (work function that is within 0.2eV of the
conduction- and valence- band edges of silicon) [19]. The material of choice that fulfills these
requirements and is also used in the Sentaurus model is titanium nitride (TiN, also known as tinite) so
called mid-gap metal because its work function is located in the middle of the silicon bandgap. TiN can be
adjusted to function as a metal gate in nFET as well as in pFET. This method implements the nitrogen-
concertation-controlled titanium-nitride (TiNx, x represents the variable nitrogen concertation). It has
been observed that higher nitrogen concentration lowers the TiNx work function (useful for nMOS) and
the lower concentration makes it higher (useful for pMOS) [20]. The described gate stack is represented
in the Figure 5.
This revolution in the gate stack technology also brought new implementation problems and
possibilities. There are two main integration schemes: gate first (GF) and gate last (GL, also known as
replacement metal gate RMG), first and last regarding to whether the gate stack is deposited before or
after the thermal annealing process. The gate first process is the conventional integration process and has
been used with the poly-Si gate, but with the metal gate sensible to higher temperatures, gate last method
had to be investigated. The 22nm model uses the conventional gate first method and the second method
will be further discussed in the next node section of this thesis. The challenge with the GF method is that
the high-K and the metal gate have to withstand S/D thermal activation anneal. TiN changes its work
function when submitted to high temperatures making the unacceptable shift in the threshold voltage of
the transistor one way to deal with this problem is to add an additional capping layer between the metal
and the dielectric layer that helps achieving the desirable work function (Al-based metal for pMOS and La-
based for nMOS) [18]. It is also important to consider the band gap offset when using the SiGe instead of
One paper [23] proposes using the filling material in which fins are embedded and the gate is not,
since it goes over the fins and is thereby higher. Subsequently the sidewall spacers are formed exclusively
on the gate, more precisely on the top portion of the gate that protrudes from the filling material. Since
the gate and the fins have a SiN layer on top of them, the filling material is etched leaving the fins, the
gate and the newly formed gate spacers behind. This way, no residual material was observed on the fin’s
sidewalls and the epi growth can continue unhindered.
The spacers are left on the gate sidewalls because they are of big importance for the proper
functioning of a FinFET. Firstly, they make the subsequent S/D extension implantation possible and
secondly they reduce the gate-S/D leakage by isolating the possible shorting. Furthermore, the dielectric
constant of a spacer should be low, so that the parasitic capacity between gate and S/D regions remains
as low as possible. The 22nm model uses the conventional SiN spacer, the more advanced low K spacers
will be discussed by the next node where they are implemented.
In the TCAD simulation there is no danger of unetched residue so the process is done
conventionally, however this obstacle in the FinFET production process was worthy of mention.
Figure 7. Cross section of two FinFETs with merged S/D contacts and longest carrier paths to the contact:
Flat-top epi (a) and diamond-shaped epi (b)
In the real fabrication of a FinFET, special epitaxial growth (SEG) is performed to create the
mentioned diamond-shaped structure. In the Sentaurus’s model, this growth is simulated through the
three dimensional nonatomistic Lattice kinetic Monte Carlo (LKMC) Epitaxy. This process consists of
several steps [4]. First, a doped layer is deposited using native LKMC process. Then, the structure is
updated along with mechanics steps. Finally, doping and diffusion are controlled by the continuum solver
(this paper will not dive further into the properties of numerical evaluations such as this one).
The stress comes from the lattice mismatch between Si and Ge. Germanium has a bigger lattice
constant then silicon which leads to strain between Si1-xGex and Si areas. The bigger the Ge content, the
higher the induced strain, though the mobility enhancement can reach a saturation point after a certain
percentage of germanium content. There are several drawbacks to the implementation of SiGe, one of
which is the low thermal conductivity of SiGe that can be a crucial problem by high performance devices.
The conventional type of SiGe induced strain was so called global biaxial strain. This method uses
the thin Si layer that is epitaxially grown on a relaxed SiGe substrate. The already mentioned lattice
Unlike the traditional biaxial strain technique where strain is applied from the bottom of the
channel, the more efficient uniaxial strain is introduced from the side using Si1-xGex for pMOS [15] and Si:C
for nMOS [16]. The main advantage of uniaxial strain compared to biaxial is surely the much higher
mobility gain, with coefficients of approx. 4.0 and 1.7 for holes and electrons, respectively. The
comparison between the two techniques has been represented in Figure 8. Furthermore under the large
vertical electrical fields, biaxial strain shows near zero mobility improvement, which became a problem
since this is a typical operating regime of a nanoscale MOSFET. Lastly, it is crucial for CMOS technology
that tensile and compressive strain can be locally applied on nMOS and pMOS transistors respectively, the
requirement that the global biaxial strain simply does not meet. All these drawbacks lead to the further
research and development of the uniaxial strain.
To produce a tensile strain, an element with a smaller atomic size than the silicon is needed, that is
why the carbon is used to create embedded SiC source and drain regions. During the epitaxial growth, P
is in-situ doped, followed by thermal annealing. It is also interesting that adding the GeH4 during the
etching process, enhances the etching rate of the undesired amorphous SiC that forms on top of the epi
hardmask [16].
Figure 8. Strain enhanced hole mobility: uniaxial and biaxial strain compared [16]
Considering the trade-offs between higher mobility and downsides of high Ge concentration
(lower thermal conductivity, higher leakage current because SiGe approaches the metallurgical junction),
its percentage must be chosen carefully. Our Sentaurus model uses the Si0.7Ge3 ratio so that germanium
mole fraction is 30%. The percentage of carbon is on the other hand much lower, around 1% and it
produces a lattice constant 0.5% smaller than that of Si. There are several problems connected to the SiC
stressors, one of which is the difficulty of growing SiC stressors with higher C content due to low solid
solubility of C in Si.
The Figure 9 shows the simulated stress modell of 22nm FinFETs where the tensile and compressive
strain for the NFinFET and PFinFET, respectively, is observable. In addition to uniaxial strain induced by
S/D epi pockets, there are several other stress factors that have to be taken into account, they will be
covered later in this paper.
The silicide approach uses thin metal layer that reacts with the semiconductor on the surface
creating a silicide layer that provides low energy contact, simultaneously lowering the resistance as well
as improving the thermal characteristics. Considering the CMOS technology, one approach is to use two
different metals with appropriate work functions such as erbium (Er) for nMOS and platinum (Pt) for
pMOS contacts. This method is undesirable due to complexity that the integration of two different silicides
can bring into the manufacturing process [21]. The second approach uses the same contact metal for both
nMOS and pMOS with additional tuning of the work function. There are several criteria used when
choosing the adequate metal for silicidation. The metal should consume as least semiconductor as
possible and it should reach its low resistivity phase on a relatively low temperature. Nickel silicide (NiSi)
is the preferred silicide for this purpose and it is also used in the TCAD model for this paper. Its work
The salicide process consists of a physical vapor deposition of a thin metal film over the whole
transistor structure, annealing to form silicides and wet etching of the rest metal. In order to lower the
silicide resistance (reaching the low resistivity phase), an additional annealing process is performed
subsequently. The name salicide stands for self-aligned silicide, referring that the silicide will be formed
only in contact with Si and not on the oxide regions, so the process does not require a hard mask. This is
possible due to the fact that metal reacts much faster with the Si than with the SiO2 and furthermore the
following wet etch has been developed to etch the metal that has not reacted much faster than the silicide
[22]. This ensures that the silicide is formed in the contact regions only where Si is exposed. By formation
of a NiSi layer on a FinFET structure it is reported that higher annealing temperatures are needed
compared to the conventional planar MOSFET due to different silicide grain formation on the side walls
of the fin.
The reaction between the metal and Si can positively act upon any previously existing defects on
the Si surface, thus lowering the contact resistance additionally. It has also been reported that NiSi exerts
tensile stress on the channel and can bring around 8% enhancement in the Ion/Ioff characteristics of an
nMOS [16]. Furthermore self-aligned process can achieve smaller spacing between contacts since it does
not require lithography. One of the disadvantages of silicidation is the possible bridging between contacts
due to lateral silicide formation that can result in a short circuit. Furthermore, uncontrolled silicide growth
on shallow junction can lead to silicide protrusion into the junction zone and junction leakage.
In our TCAD simulation the salicide process is simplified. Namely, the semiconductor that is
consumed by the silicidation is etched first and then nickel silicide is deposited in its place selectively.
3.7 Results
This section will represent some of the most important characteristics obtained from the simulation
of 22nm pMOS as well as nMOS FinFET. Figure 10 shows the drain current (Id) over gate voltage (Vg) for
both transistors. Two different drain biases were simulated: 0.05 V and 1 V to demonstrate linear and
saturation characteristics of the transistor (Linear – Lin and Saturation – Sat, respectively).
The results are similar to those reported in two papers that were used as a guideline for this model
[9, 13]. Some of the characteristic values for both transistors are represented in the Table 3. Vt represents
the threshold voltage, IdLin is the drain current for Vgs = Vdlin (Lin represents the linear field of operation
and VdLin is 0.05V in that case) gmLin is maximum conductance at Vds = Vdlin, SSlin is the subthreshold
slope swing at Vds = Vdlin, IdSat is the saturation drain current at Vds = Vgs = 1V and Ioff is the drain-
leakage current at Vds = 1V and Vgs = 0
nFinFET pFinFET
Vt [V] 0.326 -0.375
IdLin [A] 1.482e-04 1.488e-04
gmLin [S] 1.227e-05 1.366e-05
SSlin [mV/decade] 80.415 92.878
IdSat [A] 6.065e-04 5.931e-04
Ioff [A] 2.833e-09 4.061e-09
Table 3. Characteristics of 22nm nFinFET and pFinFET
It should be mentioned that the corner effect could also be reduced by additional corner
implantation that should adjust its threshold voltage to the rest of the fins body [25]. The suggested
process should occur after the shallow trench isolation that will be discussed in the next section. After the
fins have been etched and the wells filled with oxide, top of the fin is covered with the hard mask nitride
layer and additional oxide layer from the former STI isolation. The wafer is then implanted with boron
ions at zero degrees angle with 5keV energy to a dose of 5e13 cm -2. Some of the ions reach through the
oxide and nitride layer and locally increase the corner fin doping reaching the peak concentration of 8e18
cm-3.
Figure 12 shows the simulation results regarding the subthreshold slope and off-current of both
nFinFET as well as pFinFET for different Wtop dimensions. It is clearly observable that the tapered fin shape
exhibits superior characteristics over the rectangular cross-sectional fin shape. At the same time, the
lower on-current is observed with decrease in the fin area. The factor of on-current decrease is slightly
lower than that of the leakage current so the Ion-Ioff ratio rises with the Wtop decrease. It is also interesting
that nMOS shows larger on-current variation, because of the different carrier mobility on the top and side
surface of the fin. The influence of crystal orientation on the carrier mobility will be later further discussed.
80
78.508
78 76.935 9.000 8.452
Ioff [pA]
7.552
76 74.8
7.000 6.511
74 73.856
5.000 4.343
72 3.602
70.475
70 3.000
5 10 15 5 10 15
Wtop[nm] Wtop[nm]
Figure 12. Simulated values of tapered fin shape impact on subthreshold slope (left) and leakage current
(right)
Next crucial process that has to be optimized is the STI CMP. We can distinguish two types of
uniformity: global and local. Global uniformity mainly depends on the CMP. The mentioned paper [27]
reports very good optimization of this process, creating a uniform pattern. Local uniformity is also
influenced by the local pattern density. For a uniform fin pattern, CMP produces a very good pattern but
variation in fin pitch creates dishing in the oxide layer. This issue can be avoided by creating a dummy fin
in the higher fin pitch zones, so the CMP pattern stays consistent. Fin oxide recess is the most important
step of the STI process because it decides the final oxide profile. The etching is divided into two phases:
before and after the fin hard mask removal, both of which have to be optimized to form the wanted fin
shape. Dilute HF (DHF) and dry etching combination is proposed for this purpose. Dry etching byproducts
can pile at the middle of the trench, causing an uneven profile. On the other hand if the dry etching
amount is too low, oxide footing can be formed, making the oxide layer on the sidewalls thicker. With an
optimal amount of DHF and dry etching, the same mentioned paper achieved uniformed STI profile.
However, TCAD model does not suffer from these issues, the fin is formed and the oxide is subsequently
deposited up to a certain level (Fig. 13).
Furthermore, with the pitch between STI trenches getting smaller, the compressive stress it
produces onto the transistor becomes significant. This can of course enhance the pMOS device
performance because the compressive stress is desirable. But for an nMOS transistor where a tensile
stress is desirable, this brings
an unwanted effect. There are some approaches to reducing the unwanted stress effect on the nMOS like
STI recess, but the TCAD model used here implements 1GPa tensile as a sum of STI stress and contact
metal stress (tensile stress that arises by formation of NiSi contacts, so called silicide induced stress) for
both nMOS and pMOS.
Apart from the general outline, like S/D length from the spacer to the endpoint or the S/D height,
there are some more detailed measurements that can be controlled. Some of them are represented in
Figure 14. In comparison to the previous technology node, this model implements a 6nm fin undercut that
leads to an overlap between gate and source/drain regions. Namely, the production process at such a low
scale produces certain inaccuracies. This can occur due to insufficient accuracy during the S/D doping
(abrupt doping profiles are a big challenge of VLSI technology) that can penetrate into the channel region
or due to low accuracy of metal gate implementation that can partially cover the edges of S/D regions.
This reduces the effective channel length for roughly 6nm from each side, approx. 12nm in total. The
channel length is primarily 25nm but the effective channel length falls down to 14nm after the S/D
implementation. The overlapped structures are reported to exhibit higher short channel effects and a
decrease in Ion/Ioff ratio and the device delay also enhances [29].
The Si stressors concentration is also different from the 22nm node, as it can be observed in Figure
14. The Ge mole fraction is 50% in the S/D regions of pFinFET and carbon concertation lies at 2% for
nFinFET.
Our TCAD model uses the (100) top surface and the (110) channel orientation. Considering the
crystal orientation effect, the simulation calculates Inversion and accumulation mobility with auto-
orientation (IALMob). This means that the inversion layer mobility will be calculated with regards to
surface orientation dependency and according to the experimental data on the universality of inversion
layer mobility [32].
Furthermore, Sentaurus also uses density-gradient quantum correction with auto-orientation.
Namely, quantum separation is a function of the quantization carrier mass and quantization carrier mass
is different for different crystal orientation. So, considering the two different crystal orientations in a fin,
auto-orientation creates an orientation-dependent quantum-correction.
Figure 15. FinFET channel orientations on a (100) wafer (left) and carrier mobility versus doping
concentration for nMOS and pMOS with two different channel orientations (right) [31]
The metal of choice still has to be chemically stable in contact with the dielectric layer, as well as
thermally stable, though this requirement is not as important as by the gate first process because this
time, the gate metal has to withstand a low temperature anneal (600°C for 1µs in this model).
Nevertheless, a thermally very stable refractory metals are still used for this purpose. Titanium (Ti), used
in the 22nm FinFET can be categorized loosely as a refractory metal (melting point at 1668°C), but
tungsten (W, also known as wolfram) with its 3422°C melting point is a proper refractory metal. Thanks
to its mid gap work function and very low resistivity metal/oxide interface, tungsten is a great candidate
for the dual work function metal gate transistors. Furthermore, chemical mechanical planarization (CMP)
of Tungsten is well investigated and ready for mass implementation. In contrast to conventional physical
vapor deposition (PVD), it is reported that Tungsten gate can be formed by means of atomic layer
deposition (ALD), a process that exhibits superior filling capabilities and very low void formation possibility
compared to the conventional PVD [33].
One additional advantage of the metal gate last approach is the enhanced uniaxial channel strain
that comes from SiGe and SiC source/drain regions. In order to fully exploit this possibility, a process flow
is suggested where the dummy gate is deposited, followed by the standard thermal processing, up until
the self-aligned silicide contact. The wafer is then planarized (CMP) and the dummy gate is etched. The
metal gate is then deposited into the recessed area [34]. By removing the poly gate after the S/D lattice
mismatch strain is already applied, the whole transistor structure becomes weaker and more prone to the
strain effects [35]. This strain enhancement is taken into account in this simulation by adding an additional
1GPa of tensile and compressive stress for nMOS and pMOS, respectively. Analogous to the 22nm FinFET,
a thin interlayer oxide (6Å) is deposited before the HfO2 dielectric layer (17Å).
However, high-K metal gate also degrades the mobility due to several different mechanisms
(remote phonon scattering (RPS), remote Coulomb scattering (RCS) and remote dipole scattering (RDS)).
In this project, all three mechanisms are taken into account according to Advanced Calibration guidelines
[36].
Figure 16. Cross section of the final pFinFET model with tungsten interconnects and CESL
Figure 16 also shows a contact etch stop layer (CESL) on top of the first interconnect layer dielectric
in the gate region (ochre colored). This layer induces additional biaxial stress in the channel region thus
increasing the carrier mobility. This method was first used just for the nMOS transistors for tensile strain
induction, while the pMOS used the SiGe stressors. With the technology advancement, CESL can now
exhibit tensile as well as compressive stress. This process is called dual stress liner (DSL) and it consists of
deposition of highly tensile and highly compressive nitride liner over the nMOS and pMOS, respectively
[38], though this strain component is not considered in this model.
Figure 17. Final strain model in the ZZ direction for a pMOS with 5nm top fin width
Furthermore, final current characteristic lines are represented in Figure 18 for both pFinFET and
nFinFET with the top fin width of 5nm. The graph shows the dependence of total drain current (Id) on gate
voltage (Vg) for two different drain voltages (Vd), simulating so called linear (Vd = 0.05 V) and saturation
(Vd = 1 V) scope. A lateral difference between these two curves in the subthreshold regime point to the
existence of the drain-induced barrier lowering (DIBL). DIBL is an effect where higher drain voltage can
directly influence the gate barrier height (lowering it), thus increasing the overall drain current. It can be
roughly calculated from the given plot to 100 mV/V for both pMOS and nMOS devices.
The Sentaurus 10nm FinFET model achieves the three different modes by changing the metal gate
work function that is directly proportional to gate barrier height and thus to the threshold voltage of the
transistor. To create a low-power device, reducing the leakage current is of crucial importance, which can
be achieved through higher threshold voltage. On the other hand, lower threshold voltage makes higher
drain current possible as well as shorter response time, both crucial for a high performance device. The
metal work function is an additive term in the threshold voltage. Figure 19 shows the dependency of
leakage, linear and saturation current on the work function for both nFinFET and pFinFET. The exact values
of the work function and their influence on threshold voltage are represented in Table 4.
nFinFET pFinFET
Mode LP SP HP LP SP HP
WF [eV] 4.611 4.511 4.364 4.640 4.733 4.878
Vt [mV] 383 283 136 -356 -263 -118
Table 4. Work function versus the threshold voltage for both nFinFET and pFinFET
The fin height can also play a significant role in the power/performance trade-off. Namely, tall fins
can increase the transistors delay what is definitely an unwanted effect when it comes to high
performance FinFETs. At the same time, taller fins lower the leakage current thanks to their electrostatic
superiority. Some chips use two different fin heights for this purpose: taller for LP and shorter for HP. This
project uses the same fin height of 27nm for all three scenarios.
Figure 19. Linear (blue), saturation (red) and off (green) current vs the metal work function for
pFinFET (up) and nFinFET (down)
However through certain modifications, undershoot can be estimated and taken into account so
that the final solution is close enough to the physical outcome. This Sentaurus project uses ballistic
mobility model and saturation velocity adjustment in order to accurately model the 10nm FinFET. The
reason it is insisted to keep the drift-diffusion equation useable is its relatively low computational effort,
in contrast to the Monte Carlo method with quantum correction.
Figure 20. Accuracy of quantum correction for HP, SP and LP nFinFET. Lines represent the gradient
density model and the dots (correspond perfectly with the lines, so hardly observable) the corrected
semiclassical MC simulation
On the other hand, with the ballistic transport model calibrated to MC results, the corrected drift-
diffusion simulation reproduces the Monte Carlo currents a lot better. Figure 22 shows the simulated
drain current values for both linear (Vd = 0.05V) and saturation (Vd = 0.7V) range. Even though the
difference between two simulations is noticeable, the relative error is reduced to approximately 10% in
the worst case and some values are identical for both simulations. Since the drift-diffusion simulation is
significantly faster than the MC simulation, it is often a more preferable approach to 3D simulations,
where many different scenarios are investigated, so the simulation time plays a substantial role.
Furthermore, already mentioned lattice mismatch between SiGe and Si can be put to use to create
the well needed strain enhancement to the transistor. The non-silicon channel can be achieved through
different techniques, but the epitaxial film growth on top of the Si wafer shows promising advantages and
is also used in this project. Between the Si wafer and the channel a stress-relaxed buffer (SRB) because of
the very large lattice mismatch between pure Si and Ge. This layer also serves as a strain source, to be
more precise a tensile strain in the case of Germanium. This is certainly desired for the nFinFET, but the
pFinFET requires compressive strain in the channel for the increased hole mobility. This is achieved
through SiGe epitaxially grown S/D regions with the higher Ge mole fraction than the channel. It has been
shown that this not only compensates for the tensile stress of the SRB, but actually creates even bigger
strain onto the channel than without the SRB.
Considering the performance/leakage trade-off connected to the Ge percentage and the strain
enhancement that it simultaneously brings, the following Ge mole fractions are used for LP, SP and HP
nFinFET and pFinFET: For low power devices, channel is kept free of Germanium, the SRB though has 20%
Ge that provides beneficial tensile stress for nFinFET. To compensate this, pFinFET uses Si0.3Ge0.7
epitaxially grown in the S/D region, creating the compressive stress in the channel. For standard
performance devices, Ge mole fraction of 50% is used for both channel and the SRB. The lattice mismatch
Figure 23. Germanium mole fractions for pFinFET (top row) and nFinFET (bottom row) for LP (left
column), SP (middle column) and HP (right column)
Together with the poly gate removal, STI and contact stress components already described in
previous sections, the final strain on the transistor is presented in Figure 24 for all transistor variations. It
is observable how the strain reaches the highest values in the top of the fin thanks to the dummy gate
removal process. Furthermore, strain is the strongest where the difference in Ge concentration between
the channel and the S/D regions is the highest, pointing out that the uniaxial stress from the epitaxial S/D
Figure 24. Stress along the channel (Szz) for pFinFET (top row) and nFinFET (bottom row) for for LP (left
column), SP (middle column) and HP (right column)
Even though this paper uses only silicon and germanium, it is worth mentioning that there are
further experimenting possibilities. One paper [44] suggests adding Tin (Sn) to the SiGe, creating a Sn-
based alloy. One of the reasons is that the GeSn alloy offer the largest lattice constant in group IV, which
allows even more aggressive strain engineering. Furthermore, through the adjustment of Si/Sn ratio, the
work function can be adjusted more flexibly. The Sn concentration of 8% is proposed for this purpose.
One more feature connected to the surface-relaxation buffer is its tapered shape that follows the
slightly tapered fin. As shown in Figure 25, there is a subtle but noticeable difference in the slope angle of
the fin and the SRB. The reason for this is that the constant need for narrower fins lead to their
Mechanical instability. In order to keep the structure stable, the SRB expands in the width faster than the
fin.
6.2 Results
Considering the three different splits, Figure 26 visualizes the leakage, linear and saturation current
in dependence on Ge channel concentration. For the two extreme cases with 0% and 100% Ge, the trade-
off is obvious, but for the SP case, especially in the nFinFET ,the increase in leakage current is observed
without a significant gain in the on current. At the same time, a great agreement between adjusted drift-
diffusion and corrected Monte Carlo model can be observed.
Figure 26. Off, linear and saturation current vs Ge Mole Fraction for pFinFET (up) and nFinFET (down)
simulated with DD (lines) and MC (dots)
This section investigates the influence of channel orientation variation as well as total strain impact
on the FinFETs charge carrier mobility. The Sentaurus project described in [5] is adjusted so that it
simulates two channel orientations: (110) and (100) for both pMOS and nMOS FinFETs and include or
exclude stress model from the simulation. Figure 27 shows the Sentaurus workbench after the parameter
extension. The left red square shows two different channel orientations that will be simulated. The right
red square points out the parameter that decides if the mechanical strain will be taken into account (value
is 1) or not (value is 0). With two different FinFET types (nMOS and pMOS) and three different top fin
widths, further parameter variations create an extensive amount of possible scenarios to be simulated.
The black and the blue square point out the two cases used in this thesis in order to present the parameter
influence. Both the crystal orientation and mechanical strain are shown for pMOS with Wtop = 5nm.
As already mentioned in the 14nm section, channel orientation can be changed by wafer rotation
of 45 degrees. The (110) channel showed better performance trade-offs for the CMOS implementation
but the constant developments in the production technology can lead to certain enhancements in only
one type of transistor, thus moving the trade-off equilibrium point in favor of the (100) channel. The
alternation of input parameters for the channel direction and wafer orientation are defined with
preprocessor statements. Four different combinations of these two parameters are handled by “#if”
Figure 28. Hole mobility for the (110) and (100) channel orientation left and right, respectively (pFinFET
Wtop = 5nm, strain impact included)
Next to the crystal orientation dependence, the mobility enhancement induced by mechanical
strain is also investigated (Fig. 29). The “Strain_impact” parameter of the device simulation showed in
Figure 27 controles 5 preprocessor queries that, depending on the parameter value, include or omit the
stress impact.
Figure 29. Hole mobility with (left) and without (right) the strain impact included. (pFinFET Wtop = 5nm,
(110) channel direction)
This work used FinFET models offered by the TCAD Sentaurus as recommended architecture for
the mentioned nodes. This provided a more thorough insight into the FinFETs process flow, as well
in its characteristic performance features. On a second level, challenges of fast and correct simulation
models for nano-device simulations are discussed. Physical phenomena that lead to the need of new
transport models, as well as the correction of already used models is presented with the accent on
the simulation speed optimization.
[1] Gordon E. Moore, “Cramming more components onto integrated circuits” Electronics, Volume 38,
Number 8, April 19, 1965
[2] Max Roser and Hannah Ritchie (2019) - "Technological Progress". Published online at
OurWorldInData.org. Retrieved from: 'https://ourworldindata.org/technological-progress'
[3] H.-S. P. Wong et al. “Nanoscale CMOS” Proceedings of the IEEE, Vol. 87, No. 4, April 1999, pp. 537-570
[4] “Three-Dimensional Simulations of Raised Source-Drain FinFET”, available from TCAD Sentaurus
Version O-2018.06
[5] “Three-Dimensional Simulation of 14/16 nm FinFETs With Round Fin Corners and Tapered Fin Shape”,
available from TCAD Sentaurus Version O-2018.06
[6] “Three-Dimensional Device Simulations of 10nm FinFETs Using Monte Carlo Model and Drift-Diffusion
Model With Ballistic Mobility”, available from TCAD Sentaurus Version O-2018.06
[7] “Three-Dimensional Simulation of 7 nm Silicon Germanium FinFETs Using Monte Carlo Model and Drift-
Diffusion Model With Ballistic Mobility, available from TCAD Sentaurus Version O-2018.06
[8] M.J.H. van Dal et al. “Highly Manufacturable FinFETs with sub-10nm fin width and high aspect ratio
fabricated with immersion lithography” 2007 Symp. on VLSI Tech. Dig. pp. 110-111
[9] C.-Y. Chang et al. “A 25-nm Gate-Length FinFET Transistor Module for 32nm Node” 2009 IEEE IEDM pp.
293-296
[10] Gen Pei, Jakub Kedzierski et al. “FinFET Design Considerations Based on 3-D Simulation and Analytical
Modeling”, IEEE Transaction on Electron Devices Vol. 49, No. 8, August 2002, pp. 1411-1419
[11] Y. Liu et al. “Cross-Sectional Channel Shape Dependence of Short-Channel Effects in Fin-Type Double-
Gate Metal Oxide Semiconductor Field-Effect Transistors”, Japanese Journal of Applied Physics Vol. 43,
No. 4B, 2004, pp. 2151–2155
[12] S. L. Tripathi and R. A. Mishra, “Design of 20 nm FinFET Structure With Round Fin Corners Using Side
Surface Slope Variation”, Journal of Electron Devices, Vol. 18, 2013, pp. 1537-1542
[13] H. Kawasaki et al. “Challenges and Solutions of FinFET Integration in an SRAM Cell and a Logic Circuit
for 22 nm node and beyond”, 2009 IEEE IEDM pp. 289-292
[14] J. Welser, J. L. Hoyt and J. F. Gibbons, “Electron Mobility Enhancement in Strained-Si N-Type Metal-
Oxide-Semiconductor Field-Effect Transistor”, IEEE Electron Device Letters, Vol. 15, N3. 3, March 1994, pp.
100-102
[15] Scot E. Thompson et al. “A 90-nm Logic Technology Featuring Strained-Silicon”, IEEE Transactions on
Electron Devices, Vol. 51, No. 11, November 2004, pp. 1790-1797
[17] K. Mistry et al. “A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu
Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging”, 2007 IEEE IEDM, pp. 247-250
[18] Elke Erben, Klaus Hempel and Dina Triyoso, “Complementary Metal Oxide Semiconductor”, Chapter
3, November 2018
[19] Veena Misra, Gerry Lucovsky and Gregory Parsons, “Issues in High-k Gate Stack Interfaces”, MRS
Bulletin, March 2012, pp. 212-216
[20] Hitoshi Wakabayashi et al. “A Dual-Metal Gate CMOS Technology Using Nitrogen-Concentration-
Controlled TiNx Film”, IEEE Transactions on Electron Devices, Vol. 48, No. 10, October 2001, pp. 2363-2369
[21] Emre Alptekin and Mehmet C. Ozturk, “Tuning of the Nickel Silicide Schottky Barrier Height on p-Type
Silicon by Indium Implantation”, IEEE Electron Device Letters, Vol. 30, No. 12, December 2009, pp. 1272-
1274
[22] J. P. Gambino and E. G. Colgan, “Silicides and Ohmic Contacts”, Materials Chemistry and Physics, Vol.
52, 1998, pp. 99-146
[23] A. Kaneko et al. “Sidewall Transfer Process and Selective Gate Sidewall Spacer Formation Technology
for Sub-15nm FinFET with Elevated Source/Drain Extension” IEEE IEDM Tech. Dig. 2005, pp. 863-866
[24] Brad D. Gaynor and Soha Hassoun, “Fin Shape Impact on FinFET Leakage With Application to
Multithreshold and Ultralow-Leakage FinFET Design”, IEEE Transactions on Electron Devices, Vol. 61 No.
8, August 2014, pp. 2738-2744
[25] Mirko Poljak, Vladimir Jovanovic, Tomislav Suligoj, “Suppression of Corner Effects in Wide-Channel
Triple-Gate bulk FinFETs”, Microelectronic Engineering, Vol. 87, 2010, pp. 192–199
[26] Prof. Dr. Helmut Föll, “Electronic Materials”. Retrieved from: ‘https://www.tf.uni-
kiel.de/matwis/amat/elmat_en/index.html’
[27] Lijuan Du et al. “Optimization of STI Oxide Recess Uniformity for FinFET Beyond 20nm”, 2015 China
Semiconductor Technology International Conference, 4 p.
[28] Ajey P. Jacob et al. “Scaling Challenges for Advanced CMOS Devices”, International Journal of High
Speed Electronics and Systems, Vol. 26, No. 1, 2017, 76 p.
[29] Ali Naderi and Parviz Keshavarzi, “The Effects of Source/Drain and Gate Overlap on the Performance
of Carbon Nanotube Field Effect Transistors”, Superlattices and Microstructures, Vol. 52, 2012, pp. 962–
976
[30] Tai Sato et al. “Mobility Anisotropy of Electrons in Inversion Layers on Oxidized Silicon Surfaces”,
Physical Review B, Vol. 4, No. 6, September 1971, pp. 1950-1959
[31] C. D. Young et al. “Performance and Reliability Investigation of (110) and (100) Sidewall Oriented
MugFETs”, ISDRS 2011, December, 1 p.
[33] G. Wang et al. “Application of Atomic Layer Deposition Tungsten (ALD W) as gate filling metal for
22nm and beyond CMOS technology”, 224th ECS Meeting, 2013 The Electrochemical Society, 1 p.
[34] C. Auth et al. “45nm High-k + Metal Gate Strain-Enhanced Transistors”, 2008 Symp. on VLSI Tech. Dig.
pp. 128-129
[35] J. Wang et al. “Novel Channel-Stress Enhancement Technology with eSiGe S/D and Recessed Channel
on Damascene Gate Process”, 2007 Symp. on VLSI Tech. Dig. pp. 46-47
[36] Advanced Calibration for Device Simulation User Guide, Version O-2018.06, Mountain View,
California Synopsys, Inc., 2018.
[37] Dooho Choi and Katayun Barmak, “On the Potential of Tungsten as Next-generation Semiconductor
Interconnects”, Electronic Materials Letter, Vol. 13, No. 5, 2017, pp. 449-456
[38] H. S. Yang et al. “Dual Stress Liner for High Performance sub-45nm Gate Length SOI CMOS
Manufacturing”, 2004 IEEE IEDM, pp. 1075-1077
[39] C.-H. Lin et al. “High Performance 14nm SOI FinFET CMOS Technology with 0.0174μm2 embedded
DRAM and 15 Levels of Cu Metallization”, 2014 IEEE IEDM, pp. 74-76
[40] Tesfaye Ayalew, “SiC Semiconductor Devices Technology, Modeling, and Simulation”, Institute for
Microelectronics, Vienna University of Technology, 2004
[41] M. Zilli et al. “On the Apparent Mobility in Nanometric n-MOSFETs”, IEEE Electron Device Letters, Vol.
28, No. 11, November 2017, pp. 1036-1039
[42] F. M. Bufler and L. Smith, “3D Monte Carlo simulation of FinFET and FDSOI devices with accurate
quantum correction”, J Comput Electron 2013, Vol. 12, pp. 651–657
[43] Victor Moroz et al. “Material Engineering for 7nm FinFETs”, ECS Transactions, Vol. 61, No. 3, 2014,
pp. 103-110
[44] Soyog Gupta et al. “7-nm FinFET CMOS Design Enabled by Stress Engineering Using Si, Ge, and Sn”,
IEEE Transaction on Electron Devices Vol. 61, No. 5, May 2014, pp. 1222-1230
[45] C. Auth et al. “A 22nm High Performance and Low-Power CMOS Technology Featuring Fully-Depleted
Tri-Gate Transistors, Self-Aligned Contacts and High Density MIM Capacitors”, 2012 Symp. on VLSI Tech.
Dig. pp. 131-132
Wien,
Veljko Vukicevic
_______________________