Diamond Known Issues
Diamond Known Issues
Diamond Known Issues
September 2017
Copyright
Copyright © 2017 Lattice Semiconductor Corporation. All rights reserved. This
document may not, in whole or part, be reproduced, modified, distributed, or publicly
displayed without prior written consent from Lattice Semiconductor Corporation
(“Lattice”).
Trademarks
All Lattice trademarks are as listed at www.latticesemi.com/legal. Synopsys and
Synplify Pro are trademarks of Synopsys, Inc. Aldec and Active-HDL are trademarks
of Aldec, Inc. All other trademarks are the property of their respective owners.
Disclaimers
NO WARRANTIES: THE INFORMATION PROVIDED IN THIS DOCUMENT IS “AS IS”
WITHOUT ANY EXPRESS OR IMPLIED WARRANTY OF ANY KIND INCLUDING
WARRANTIES OF ACCURACY, COMPLETENESS, MERCHANTABILITY,
NONINFRINGEMENT OF INTELLECTUAL PROPERTY, OR FITNESS FOR ANY
PARTICULAR PURPOSE. IN NO EVENT WILL LATTICE OR ITS SUPPLIERS BE
LIABLE FOR ANY DAMAGES WHATSOEVER (WHETHER DIRECT, INDIRECT,
SPECIAL, INCIDENTAL, OR CONSEQUENTIAL, INCLUDING, WITHOUT
LIMITATION, DAMAGES FOR LOSS OF PROFITS, BUSINESS INTERRUPTION, OR
LOSS OF INFORMATION) ARISING OUT OF THE USE OF OR INABILITY TO USE
THE INFORMATION PROVIDED IN THIS DOCUMENT, EVEN IF LATTICE HAS
BEEN ADVISED OF THE POSSIBILITY OF SUCH DAMAGES. BECAUSE SOME
JURISDICTIONS PROHIBIT THE EXCLUSION OR LIMITATION OF CERTAIN
LIABILITY, SOME OF THE ABOVE LIMITATIONS MAY NOT APPLY TO YOU.
Bold Items in the user interface that you select or click. Text that you type
into the user interface.
Courier Code examples. Messages, reports, and prompts from the software.
Some bus attribute settings in Schematic Editor may cause an error or get
lost 20
Cannot select multiple areas of wires in Schematic Editor 20
Dragging wire end in the Schematic Editor does not change wire length or
direction 21
Schematic components are deselected after being dragged or
moved 21
Schematic Editor limitation on deselecting components 21
IPExpress 21
Missing feature in PMI FIFO modules for VHDL 21
Some CrossLink Soft IPs will fail during implementation if missing DCS
Initialization file 22
Some Intellectual Property (IP) modules may not meet targeted timing on
LatticeECP3 or LatticeXP2 devices 22
Median Filter IP cannot install 23
Some Intellectual Property (IP) modules may not meet targeted timing on
LatticeECP3 or LatticeXP2 devices 23
MULT module has lower bits tied low after programming LatticeECP3 23
PLL has Fractional-N Divider of 1 instead of 0 24
Process view is not cleared when a module is regenerated 24
Calculate button for PLL produces wrong values 25
Incorrect delay times for DDR modules for MachXO2 25
Simulation 25
Fails on missing GENERIC statement in OSCH 25
Simulation fails on incorrect PMI headers 25
Errors occur in ecp5um_serdes.ibs file at some pin declarations 26
The MachXO2 SEDFA simulation model does not contain timing
requirements for the signal SEDFRCERR 27
Active-HDL LEII allows only one design to be simulated at a time even
with a multi-seat license 27
Simulation Wizard ignores RTL file modifications that change the top-
level 28
VHDL package files are not sent to the simulator from Simulation Wizard
in some cases 28
Active-HDL 8.2 may issue compilation warnings after using Simulation
Wizard 28
Aldec Active-HDL may return error when .sdf file is located in simulation
project folder 29
Verilog front-end simulation module compilation fails using Aldec Active-
HDL 29
After upgrade, Active-HDL cannot open configuration 30
On Windows 7 when launching the Simulation Project File (SPF) in
Diamond, the Simulation Wizard’s Add Source page does not update
properly 31
Preference Views 32
Spreadsheet View takes a long time to open 32
There is no DRC check on the Clock Jitter value entered 32
Global preference sheet erroneously shows "DISABLE" for
MASTER_SPI_PORT 32
PAR-assigned pins cannot be cross-probed from Spreadsheet View 33
Selected nets in NCD View do not get added to the Create New UGROUP
dialog box 33
Selected nets in Netlist View do not get added to the Create New
UGROUP dialog box 33
Regions and groups in Physical View are not displayed in the colors
assigned to them 34
Physical View does not match delay path colors or allow custom
colors 34
Synthesis 34
Lattice Synthesis Engine may have long run-times in certain designs 34
Synplify Pro can stop working in certain cases 34
LSE fails on IP for Platform Manager 2 35
LSE does not process input_delay and output_delay constraints
properly 35
Synthesis fails with schematic file on Windows 8 35
Design with DDR_GENERIC fails in synthesis 36
Defaults in Diamond strategies are different from Synplify Pro itself 36
LSE does not convert gated clocks driving distributed RAM primitives 36
Synplify Pro for Lattice fails to produce _prepass.srd file 36
After synthesis with Synplify Pro, port or net names are changed 37
Synthesis warns that EBR CSDECODE property should be binary 37
The last EDIF source in the source file list is automatically treated as the
top module 37
Implementation Flow 38
PAR TRACE Report does not include frequency tolerance 38
Diamond crashes during Translate Design after setting constraints with
Spreadsheet View 38
PAR report shows placement score values that vary greatly between
phases 39
PAR report shows placement score values that vary greatly between
phases 39
PAR report does not show placement results sorted by timing score 40
Preference semantic errors reported during design implementation 40
Implementation succeeds despite missing EDIF source file 40
PAR may fail to place PIO PGROUP if clock PIO driver is assigned to the
same bank 41
Map says to set SHAREDEBRINIT=ENABLE but it already is 41
If more than one EBR has the same INIT, data will be shared if at least
one EBR sets INIT_DATA to STATIC and others to
INIT_DATA=DYNAMIC 41
Map says WISHBONE clock frequency of EFB module can be up to 166
MHz 42
Map changes DEV_DENSITY incorrectly for U devices 42
IO Timing Report and Trace Report numbers do not match when using
SS on INPUT_SETUP 42
MachXO2 design issues PAR warnings for PLL clocks that do not match
their divider settings 43
TRACE reports errant clock speed that exceeds target specifications for
GDDRX1 designs 43
Default PCICLAMP setting in Spreadsheet View produces illegal
combination error 44
Configuration data not fully loaded into EBR from UFM 44
Components within a wide LUT have different PGROUP settings 45
Map Design fails on Linux 64-bit Red Hat 4 machine 45
Lattice Diamond
This section lists the known issues and workarounds of the Diamond
software. Descriptions include the software versions and devices affected. If
you are looking for a workaround to a problem, search for related terms
including the tool name or a word from an error message, or scan the
Contents. If you want issues for a certain version, search for the version
number. This will find issues affecting that version and issues fixed in that
version of the software.
Design Entry
I/O Power or Total Power does not change with
INBUF On or Off
For I/Os configured as output-only mode (with tristate control), or as unused I/
Os, the input buffer can be drawing current from VCCIO if not disabled (with
the INBUF option to be ON) in the Diamond software. The amount of current
depends on the voltage on the pin. If the voltage on the pin (when the output
is tri-stated, or the pin is unused) is not pulled up to VCCIO, or is pulled down
to GND, this input buffer current can be observed on VCCIO. The Power
Calculator does not include this current, and is calculated based on the
assumption that these inputs are pulled to either VCCIO or GND.
If you see a clock in PERIOD constraint that was set in the LSE LPF and a
FREQUENCY constraint for the same clock set from the user LPF, then the
tool may pick up the unwanted PERIOD constraint from LSE. The workaround
is to write the user LPF with PERIOD on the affected clock net.
If there is a Clarity Designer .sbx file in your Diamond project, and if you
changed synthesis tool in the Diamond software, double-click the .sbx file to
open Clarity Designer, reconfigure all the modules, and regenerate the
design.
This can happen if you use the Planner tab of Clarity to place the clock signal
of the DDR module. Clarity does not properly place the clock signal.
If you see this message, place the DDR clock without using Clarity. See
Applying Design Constraints in the online help.
Or, if you need a PLL in the design, drive the clock input from the PLL.
If you have this situation in your design, do not place these pins with Clarity
Designer. Instead, let the place-and-route function place them.
Versions affected: Diamond 3.1, 3.2, 3.3, 3.4, 3.4.1, 3.5. 3.5.1, 3.6, 3.7
Devices affected: ECP5
CR119489
This warning is the result of post-map component name checking done by the
preference parser, and it can be ignored.
Lattice recommends you exclude the unrelated source files from the current
implementation of the project before generating a schematic symbol.
In such cases, you can shorten the wires one by one or adjust their positions
before shortening them.
To avoid this problem, always set the same attribute value for all signals in a
bus.
Workaround: none.
IPExpress
Missing feature in PMI FIFO modules for VHDL
An error occurs when using the VHDL version of the generated code.The
workaround is to is to use the Verilog version.
It is also important to note that when generating the IP by loading the .sbx
file, users need to make sure the valid DCS Initialization file still exists in the
same location previously specified.
For information on how to create a DCS Initialization file, please refer to each
Soft IP’s User Guide.
IP LatticeECP3 LatticeXP2
JESD207
PCI Target 66
PCS PIPE
SRIO
If you need assistance with this issue, contact Lattice Technical Support.
IP LatticeECP3 LatticeXP2
JESD207
PCI Target 66
PCS PIPE
SRIO
If you need assistance with this issue, contact Lattice Technical Support.
If you are using such a MULT module, after generating the module with
IPexpress, find the code for the ALU54A primitive named dsp_alu_1 and set
REG_OUTPUT1_CLK=CLK0. Then generate the bitstream.
You can force the processes to run anyway. In the Diamond main window,
choose Process > Rerun All.
You can get the Process view to update correctly by adding the module's .ipx
file to the project:
1. In the File List view, right-click the implementation folder and choose Add
> Existing File.
2. Browse for the customized module's .ipx file, <file_name>.ipx, and select
it.
3. Click Open.
If you see unreasonable numbers appearing in the dialog box after clicking
Calculate, contact Lattice Technical Support for assistance.
Simulation
Fails on missing GENERIC statement in OSCH
Simulation can fail because an OSCH oscillator primitive is missing the
required GENERIC statement. This can happen with the Post-Synthesis
generated VHDL (.vhm) files of Synplify Pro.
If you see this problem, open the .vhm file with a text editor and search for
“COMPONENT OSCH”. Manually insert the GENERIC statement. The OSCH
declaration should resemble Figure 1 on page 26.
Versions affected: Diamond 2.1, 3.4, 3.4.1, 3.5, 3.5.1, 3.6, 3.7, 3.8
Fixed_3.9
Devices affected: MachXO2, MachXO3L, Platform Manager 2
CR123547
begin
OSCInst0: OSCH
-- synthesis translate_off
GENERIC MAP (NOM_FREQ => "2.5")
-- synthesis translate_on
PORT MAP (STDBY => stdby,
OSC => osc_int,
SEDSTDBY => stdby_sed
);
If you see this problem, open the .vhm file with a text editor and change the
two lines to:
library pmi_work;
use work.pmi_components.all;
Versions affected: Diamond 2.1, 3.4, 3.4.1, 3.5, 3.5.1, 3.6, 3.7, 3.8
Fixed_3.9
Devices affected: All
CR123546
Pin strings “prvlp_t” and “prvln_t” are too long, and will be truncated to five
characters, causing errors.
As a workaround, rename the pins with names that are five characters or less
and that do not conflict with other pin names. For example, change “prvlp_t” to
“prp_t”, and change “prvln_t” to “prn_t”.
Refer to the latest version of Lattice Technical Note TN1206 for the correct
timing requirements.
If you attempt to simulate more than one Verilog design and one VHDL design
with Active-HDL LEII, you will receive the following error message:
# ELBREAD: Error: You do not have a valid license to simulate Verilog (or
VHDL) module <top_module_name>.
# Contact Aldec for ordering information - [email protected].
# ELBREAD: Error: Elaboration process completed with errors.
Also, Active-HDL LEII will not start if you open a third instance of Active-HDL
LEII (combined avhdl and/or vsimsa). If you attempt to start the tool with a
third instance of Active-HDL LEII, you will receive the following error
message:
VSIM: Error: You do not have a valid license to run more than 2 instances of
Active-HDL (or VSimSA) simultaneously. Contact [email protected].
You must refresh the Simulation Wizard to force it to recognize the changed
top-level by either creating a new .spf, or by clicking the “Back” button twice
and then clicking the “Next” button twice. This will cause the Simulation
Wizard to re-parse the files and select the correct top-level.
The warning states that one or more files are out of date and need to be
compiled. The warning asks, “Would you like to compile them and restart the
simulation?”
If you click Yes, the Aldec simulator recompiles the indicated files. Depending
on the exact file compilation order, this warning may appear multiple times
requiring multiple compilations. However, since this is only a warning, you can
click No. The simulation will then initialize and run correctly.
To avoid this issue if it happens, in the Diamond File List view, you can
manually adjust the file list to reflect the correct compilation order. This will
then be passed to the simulator and avoid any warnings. Alternately, the file
order can be adjusted in the Simulation Wizard “Add and Reorder Source”
step.
To avoid this issue, please observe the following two examples given below
that illustrate improper and proper Verilog code usage and adhere to its
guidelines so you can adjust your Verilog code accordingly.
genvar i;
if(i==0) begin
always @(posedge clk) begin
inpipe <= in;
end
end else begin
always @(posedge clk) begin
inpipe <= g1[i-1].inpipe;
end
end
end
endgenerate
genvar i;
The problem is that the Registry key for Active-HDL is still pointing to
Diamond 1.0, which was deleted before installing the upgrade. The solution is
to edit the Registry.
In the Simulation Wizard, click the Back button to go to first page in the wizard
and then click Forward to the Add Source page to allow the program to
refresh.
Preference Views
Spreadsheet View takes a long time to open
For large projects, it might take a minute or longer for Spreadsheet View to
open. This is because of the time needed for real-time PIO design rule
checking as the design is loaded.
To view regions and groups in their assigned colors on the layout, open
Floorplan View.
Synthesis
Lattice Synthesis Engine may have long run-times
in certain designs
Certain designs may create complicated FSM and mux-chain structures
causing Lattice Synthesis Engine (LSE) to have long run-times. This can be
avoided by setting the LSE Strategy Option “Resource Sharing” to False.
If you see this problem, try using the Synplify Pro synthesis tool.
Do not use these constraints in SDC. Instead, use the equivalent LPF
constraints such as INPUT_SETUP and CLOCK_TO_OUT.
If you see this problem, contact Lattice customer support for a patch.
The problem may be the length of the path names for the project's source
files. Windows has a limit on how long path names can be. Try reducing the
path names, especially the source file names.
Versions affected: Diamond 1.0, 1.1, 1.2, 1.3, 1.3.1, 1.4, 1.4.1, 1.4.2, 2.0,
2.0.1, 2.1, 2.2
Fixed_3.0
Devices affected: All
CR52736
This can happen if the syn_keep directive is mistakenly applied to a port. The
syn_keep directive can only be applied to nets but Synplify Pro does not issue
an error message if the directive is incorrectly used.
The message says the property is not binary but shows that it is. This can
happen when using Synplify Pro for Lattice. There is actually no problem with
the design. This warning can be ignored.
Before processing a pure EDIF design, you need to rearrange the source file
order to put the top EDIF module to the last of the source file list.
Implementation Flow
PAR TRACE Report does not include frequency
tolerance
The built-in oscillator of MachXO2 has a 5% frequency tolerance. But the
Place & Route TRACE Report is only calculated for the nominal value.
To be sure that the design will work with the frequency variation, use the next
higher available frequency in the FREQUENCY preference in the Lattice
preference file (.lpf). For the frequency, see TN1199, “MachXO2 sysCLOCK
PLL Design and Usage Guide,” Table 13-15, “OSCH Supported Frequency
Settings.”
For example, if the nominal frequency is 53.2 MHz, the preference should be:
To avoid this problem, change your operating system to use periods instead
of commas as decimal points. The following instructions are for Windows 7:
1. In the Windows Start menu, click Control Panel.
2. In the Control Panel, click Region and Language.
The Region and Language dialog box opens.
3. In the Format tab, click Additional settings.
The Customize Format dialog box opens.
4. Find “Decimal symbol” and choose “.” (period).
5. Click OK.
6. In the Region and Language dialog box, click OK.
7. Close the Control Panel.
8. Restart Diamond.
Versions affected: Diamond 1.2, 1.3, 1.3.1, 1.4, 1.4.1, 1.4.2, 2.0, 2.0.1, 2.1
Fixed_2.2
Devices affected: MachXO2 UHC
CR59398
The score (574428473) after phase 2 is much bigger than the score
(4218281)
in phase 1. This is normal and does not mean that the score after phase 2
becomes worse. Because “Placer score” is a weighted combination of
different placement merits, the placer in Phase 2 uses a different weighted
formula than that used in phase 1.
Note: This only happens with LatticeECP3 devices. For other device families,
the scores in both phase 1 and 2 use the same weighted formula.
then there's a chance PAR will fail to place the PIO PGROUP if the clock PIO
driver is assigned to the same bank as this PGROUP.
As a workaround, manually lock the clock PIO driver to a different I/O bank.
You can ignore this message if the sharing INIT value for the EBRs is caused
by using INIT_DATA=DYNAMIC.
If more than one EBR has the same INIT, data will
be shared if at least one EBR sets INIT_DATA to
STATIC and others to INIT_DATA=DYNAMIC
If more than one EBR has the same INIT, data will be shared if at least one
EBR sets INIT_DATA to STATIC and others to INIT_DATA=DYNAMIC.
As a workaround, set INIT_DATA to DYNAMIC for all EBRs with same INIT
data. Don't mix STATIC and DYNAMIC for the INIT_DATA setting. This will
ensure that all EBRs with same INIT data will not be shared.
WARNING - map: SED device density parameter '2000L' does not match that
of the selected device. Software has changed the DEV_DENSITY value to
'640L' to match the device that is used in this project.
This can happen with a design using the EFB (Embedded Function Block)
module.
Please be aware that this condition exists and the warning message now
includes the signals in the preference as shown below in the example for
troubleshooting. Also, the warning message will be issued only once per trace
instance.
------------------------------------------------
Preference | Constraint | Actual | Levels
------------------------------------------------
When using the DDR interfaces, refer to data sheets and other documentation
on the Lattice Web site to guide your target design constraints or contact
customer support. Hardware model timing data will be addressed to
accurately reflect these specifications.
To resolve this error, manually select ON in the PCICLAMP column for the
identified iobuffer. Spreadsheet View will then show the ON value in black
font, indicating a user setting, and it will add the setting to the IOBUF
preference.
So only six unique initial patterns can be loaded into the EBR. The seventh
EBR cannot be used.
More generally, to avoid the last page of the UFM, reconfigure the EFB
module to use one extra page, forcing everything to load before the last page.
For example, suppose you have 100 pages of data. In the EFB configuration
dialog box of IPexpress, go to the UFM tab and enter 101. The data will then
start at page 411 instead of 412, leaving the last page empty.
This can be caused by the L6MUX21 and PFUMX primitives being in different
hierarchies, and both hierarchies having different UGROUP constraints.
If you see this problem, either change the UGROUP constraints to put both
hierarchies in the same group or put a “syn_hier=firm” directive on one or both
hierarchies in the synthesis constraints file.
Versions affected: Diamond 1.1, 1.2, 1.3, 1.3.1, 1.4, 1.4.1, 1.4.2, 2.0, 2.0.1,
2.1, 2.2
Fixed_3.0
Devices affected: All
CR52579
Although you may be able to ignore this error safely and continue with the
flow, Lattice recommends that the best solution is to update the Redhat 4
kernel to a higher version.
Versions affected: Diamond 1.2, 1.3, 1.3.1, 1.4, 1.4.1, 1.4.2, 2.0, 2.0.1, 2.1,
2.2
Fixed_3.0
Devices affected: LatticeECP, LatticeECP2, LatticeECP2S, LatticeXP,
LatticeSC, LatticeSCM
CR52155
Check the full pathname to the project's folder. Look for a space followed by a
dash, as in “/WI -P/demo.ldf”. If you see the space-dash combination, try
changing the pathname to eliminate the space or the dash character. For
example, “/WI-P/demo.ldf” or “/WI P/demo.ldf” should work.
If you have this situation, set aside the configuration Slave Chip Select pin
and do not use it as a general purpose I/O.
For example, in this case the constraints were as follows and the net in
question was called “tx_clk_187m_c”.
In this scenario, both preferences should have generated an error, not just the
FREQUENCY preference and it should have been detected and reported in
the Map stage, prior to PAR and TRACE. So, currently, the MULTICYCLE
preference containing an invalid net name will not be flagged as an error in
the user flow.
This type of case may be rare and not be encountered very often by a user. It
is typically caused when you re-synthesize your design and component name
changes take place and you presume your preference definitions are still
viable.
To avoid this issue, Lattice recommend that you check both the Map Report
and the TRACE report for the results you would expect to occur in your design
to validate your preference designations.
Versions affected: Diamond 1.2, 1.3, 1.3.1, 1.4, 1.4.1, 1.4.2, 2.0
Fixed_2.0.1
Devices affected: All
CR51777
To avoid this error, add the following preference to the logical preference file
before mapping:
SYSCONFIG CONFIG_SECURE=ON ;
Versions affected: Diamond 1.0, 1.1, 1.2; ispLEVER 8.1, 8.1 SP1
Fixed_1.3
Devices affected: All
CR51593
Netlist Analyzer
Netlist Analyzer Will Not Display HDL Source Files
When a Reveal Module is Inserted in the Design
If you are running the design with Reveal flow enabled, the following Netlist
Analyzer operations will not work:
Netlist Analyzer will not display the corresponding RTL source when you
double-click on an object.
Netlist Analyzer will not display the corresponding RTL source when you
right-click an object and chose Jump to > Jump to HDL.
Timing Analysis
Unconstrained-path sequence causes cross-
probing error in Timing Analysis View
In Timing Analysis View, the unconstrained-path sequence might be different
from the sequence of the unconstrained paths in the timing report. This can
cause a problem when cross-probing a path from Timing Analysis View to the
timing report.
In the timing report, manually search for the correct path that corresponds to
the one in the Path Table. To search in the report, right-click in the report and
choose Find text. In the Find dialog enter some search criteria and click Find
Next.
For example, you might encounter warnings in the Output pane's Warning tab
similar to the following test case:
These warnings can be safely ignored and will not affect output TPF files or
analysis since Timing Analysis view does not use them. They can be
regarded as “don't care” elements in the file.
The missing path in the Path Table can be found in the timing report. Use the
Find dialog box by right-clicking in the report and choosing Find text.
Power Calculator
Power Calculator “Catch unknown exception” error
causes Diamond to hang
When designing with the LatticeXP2-5E device and running Power Calculator,
you will receive the error message “Catch unknown exception: Remove
Power Calculator from Lattice Diamond.” After you click OK, the Diamond
software will freeze up.
When you get this error message, contact Lattice Technical Support.
In most cases, you can resolve this problem by manually copying your PCS
configuration files to the project root directory (the same directory as the .ldf
file) before you launch Power Calculator. In Diamond, these configuration files
are usually located in the project implementation directory. In some cases,
this might not resolve the issue, depending on whether the directories had
been changed. If copying the files does not work and you want to look at
SERDES power in more detail, enter the number of channels manually in
Power Calculator. This will take you out of calculation mode and into
estimation mode.
Programming
External Memory non-Support for Advanced SPI
Flash
External memory is not supported for MachXO2 devices but the operation
was completed successfully in the deployment tool. Such an operation is now
prohibited.
Devices affected:MachXO2
Versions affected: Diamond 3.4 and earlier
Fixed_3.5
CR123178
To avoid this problem, choose Design > Generate Hierarchy in the Diamond
main window. Then launch Reveal Inserter to get the correct design tree.
Regenerate the Reveal project file.
The process was probably killed because it ran out of memory. You can work
around this problem by synthesizing the design to create an EDIF file. Then
create an EDIF design project and run Reveal Inserter.
Versions affected: ispLEVER 7.1SP1, 7.2, 7.2SP1, 7.2SP2, 8.0, 8.0SP1, 8.1,
8.1SP1; Diamond 1.0
Fixed_1.1, Fixed_8.2
Devices affected: All
CR43358
Other Topics
In Lattice Diamond Tutorial, User Needs to
Manually Open Hierarchy View
When running the Lattice Diamond Tutorial, if the user closes Hierarchy View
before opening the Diamond project, the HDL parser will not detect the top
level module. The user needs to manually open Hierarchy View before
opening the Diamond project.
Change the IO_TYPE to LVCMOS33 and then use the Hysteresis settings.
LVCMOS33 and LVTLL33 are exactly the same.
Regardless of the status showing in the Process view, if you modify the
source files, you need to rerun the implementation process from the
beginning.
To work around this issue, close Floorplan View or Physical View. Cross-
probe the selected signal again from the Signal Probes sheet of ECO Editor.
The correct connection will then be displayed.
If this happens, it is suggested that you run the normal design flow.
To work around this issue, provide a memory file (.mem) that contains the
initialization data.
This problem is due to a mismatch between Simulink blocks and the latest
Lattice IP. New Simulink blocks will be provided upon request through the hot
patch flow.
Either rerun the Tool Reports promptly after making changes or make a note
that they are out of date.
This is because Google added a security feature in March 2010 that interfered
with the file:// protocol, which is at the heart of browser-based help that
Diamond and other Lattice software uses. (This affects the help of many other
companies' software too.)
Workarounds include:
Open a different kind of browser (such as Internet Explorer) and browse to
the index.htm file of the software's help.
Set a different kind of browser as your default browser.
In Chrome, go to www.latticesemi.com and search on your topic there.
Install the Lattice software on a server.
Open Chrome from a command prompt with the following flag:
chrome --allow-file-access-from-files
Note: Doing this means that when you open any Web page that is
resident on your computer - not just Diamond Help - the page will
automatically run any active content that it has. While active content is
common and can be very useful, malicious content can damage your files.
Be sure you trust the software on your computer.
Versions affected: Diamond, Diamond 1.0, 1.1, 1.2, 1.3, 1.3.1, 1.4, 1.4.1,
1.4.2, 2.0, 2.0.1, 2.1, 2.2, 3.0, 3.1, 3.2
Fixed_3.3
Devices affected: All
CR53868
Versions affected: Diamond 1.3, 1.3.1, 1.4, 1.4.1, 1.4.2, 2.0, 2.0.1
Fixed_2.1
Devices affected: All
CR53428
Versions affected: Diamond 1.0, 1.1, 1.2, 1.3, 1.3.1, 1.4, 1.4.1, 1.4.2, 2.0,
2.0.1, 2.2
Fixed_3.0
Devices affected: All
CR52738
In such cases, the Save All command may not save the file changes you
made outside of Diamond. You may lose the changes without any notification.
temp/always/top/source/count_8.vcd'
-- -- bad node command name "addExternalFile": must be -
help, getName, isVhdl, isVerilog, isEDIF, getTypeName,
getNodeType, getInstancePath, getTitle, getToolTipInfo,
getFileName, getLineNum, getColumn, getLineColInfo,
isValid, getStickyNotes, hasStickyNotes, runBKM, canDrop,
getDropText, addStickyNote, removeStickyNote,
addAttribute, setAttribute, getAttributes,
findAttributes, removeAttribute, getComments, addMessage,
getStatusText, getLineFileText, getStatus, getInstances,
getLibInstances, getAssignmentBlocks,
getAssignmentBlockCount, getLibrary, findInstance,
findNet, findPort, getNumLevels, getInstCount,
getDefiningModule, getDefiningDefinitionBlock,
getDefiningPrimaryBlock, getDefiningSecondaryBlock,
getFullName, getBaseName, getSignals, getClocks,
getWireorRegs, getWires, getRegisters, getChildren,
getOwner, getNets, getPortCount, getPorts, getInPorts,
getOutPorts, getIOPorts, getInstantiations, isBlackBox,
isDefined, isPrimitive, isLibCell, isRoot, prettyPrint,
getAlwaysBlocks, getInitialBlocks, or
getContinuousAssigns
Clear Validate VCD from the Options dialog box (Tools > Options from the
Diamond main window), the HDL Diagram > BKM > Verification section, to
disable the check for VCD files.
Define parameters within a source file and include the source file to the
project.
Instead, start Diamond from the Windows Start menu. Then open the .ldf file
by choosing File > Open > Project. In the Open Project dialog box, browse to
the .ldf file and click Open.
Version affected: Diamond 1.0, 1.1, 1.2, 1.3, 1.3.1, 1.4, 1.4.1, 1.4.2, 2.0, 2.0.1,
2.1, 2.2
Fixed_3.0
Devices affected: All
CR49233
Diamond HDL Diagram is designed to support a single EDIF file. If there are
multiple EDIF files in a pure EDIF design, all the EDIF files are treated as
separate single EDIF designs and the hierarchy will be displayed in HDL
Diagram in the same level.
To see the hierarchy, merge all the design units into one EDIF file.
Workaround: none.
To solve the problem, take the following procedures to set the search path in
both MATLAB and Windows System Environment Variables.
1. In the MATLAB startup window, choose File > Set Path to open the Set
Path dialog box. Add the following paths to the search path list:
<diamond_installation_path>\ispLeverDSP
<diamond_installation_path>\ispFPGA\bin\nt
2. Go to the Windows Control Panel. Choose System > Advanced >
Environment Variables > System Variables. Add the above two paths to
the search path list.
After adding the two search paths in MATLAB and the Windows Environment
Variables tab, re-run MATLAB/Simulink.
This section lists the known issues and workarounds of other Lattice software
including ispLEVER Classic, PAC-Designer, LatticeMico System, ispVM
System, and ORCAstra. Descriptions include the software versions and
devices affected. If you are looking for a workaround to a problem, search for
related terms including the tool name or a word from an error message, or
scan the Contents. If you want issues for a certain version, search for the
version number. This will find issues affecting that version and issues fixed in
that version of the software.
ispLEVER Classic
Timing simulation has potential race and hazard
issue
Timing simulation may have race and hazard issues for post-fit netlist when
the control logic level is longer on the register with both asynchronous reset
and asynchronous preset using Lattice Synthesis Engine (LSE) as the
synthesis tool.
In fact, ispLSI 1000 and 2000 do not support a pullup on the global reset pin.
So the JEDEC file is correct. If needed, the pullup should be added externally.
Rerun the simulation in the Active-HDL console window using the following
command:
First of all, make sure the device is not supported by ispLEVER Classic. In the
Device Selector dialog box, select Show Obsolete Devices (bottom-right of
the dialog box) and check again for the device.
If you still don't see it, you need to use Lattice Semiconductor's
ispDesignEXPERT 8.x, an older form of Lattice's design software. (If you do
not have ispDesignEXPERT, contact Lattice Technical Support.) If you do not
see the device offered in ispDesignEXPERT, use the following procedure to
enable the mature devices.
The .obs files include both production and mature devices, so reverting back
to the original .sds and .lst files is not necessary.
Do not select ORCA Series 3 from the Device Selector dialog box if you did
not choose ORCA Series 3 during installation.
To prevent this error, contact Lattice Support to get recompiled libraries for
latest version of ModelSim.
This problem can also be fixed by finding the obsolete library and right-
clicking that library from the left-hand window (library pane) of the newer
version ModelSim. When right-clicking the selected library, you will find that
one of the options is to refresh.
Click the refresh option. This should start library refreshing, and the error
should not occur.
Note
To refresh the library, you need write permission to the folder where the library resides.
Solaris users can use CDE (Common Desktop Environment) to avoid this
problem. If you use Linux or Solaris running on OpenLook, close the
Constraint Editor and re-open it until it works.
In such cases, make sure that the user account has read-write permission on
the folder defined in the $LSC_INI_PATH environment variable, so that the
user can create new configuration files and modify all the existing
configuration files in that folder. The default $LSC_INI_PATH value is
“<boot_drive>:\LSC_ENV” on Windows.
PAC-Designer
PAC-Designer USB driver is not up-to-date with
Windows
The PAC-Designer USB driver is not up-to-date with Windows Vista and later
operating systems. Consequently, devices cannot be programmed within the
PAC-Designer environment.
PAC-Designer opens with the file. In the future, double-clicking a .pac file will
open PAC-Designer.
PlatformManager_10-12107_I2C_Utility doesn't
work
The PlatformManager_10-12107_I2C_Utility doesn't work in PAC-Designer. A
connection cannot be established between the computer and the Platform
Manager board. “No device found” or “check Cable connection or device
address” error messages are displayed.
To fix this, add a semicolon to the end of these signal definitions, and define
signal D4 as a buffer.
To resolve the issue, after deleting the FPGA node in the Logic I/O
Assignment dialog box, also delete the node assignment from the LogiBuilder
Equation table. Then save the project and run compilation again.
To avoid this issue, before exporting Jedec, save the ABEL file in CPLD
LogiBuilder and re-compile the design in FPGA LogiBuilder, or compile the
design in the ABEL Source View by choosing Tools > Compile Design.
Versions affected: PAC-Designer 5.0, 4.99a, 4.99, 4.98, 4.97, 4.96, 4.95, 4.9,
4.8, 4.7, 4.6, 4.5, 4.3, 4.2, 4.1, 4.0
Fixed_5.1
Devices affected: ispPAC-POWR1220AT8, ispPAC-POWR1014/A, LA-
ispPAC-POWR1014/A, ispPAC-POWR6AT6, ispPAC-POWR1208P1,
ispPAC-POWR1208, ispPAC-POWR607, ispPAC-POWR604
CR43522
LatticeMico System
In LatticeMico System, FTDI Cable Server Requires
Two Minutes to Enter Debug Mode
When using LatticeMico System software, you must wait minutes before
trying to scan another cable. After two minutes of idle the cable server will
shut down on it own.
This issue affects the LatticeMico8 Memory Deployment flow under the Tools
> Software Deployment tab in the LatticeMico SPE perspective. It happens
when you choose the same memory instance for the Program memory and
the Read/Write Data memory, but choose a different memory instance for the
Read-Only Data memory.
You can detect this issue by reading the log message in the console. A correct
Multi-Memory deployment flow generates multiple memory files that contain
the following five sections:
.boot
.text
.rodata
.data
.bss
If any of these sections are missing, the memory initialization files were
incorrectly generated.
lm32-elf-readelf - l <elf_file>
2. Then you can deploy the memory segments into corresponding memory
files using the following two commands:
* 02 .data .bss
*********
As shown in the above example, the lm32-elf-objcopy program header
and segment mapping help you determine that:
The .boot, .text, .data, and .bss files go into one memory segment.
The .rodata file goes into another memory segment.
3. Then run the following commands to generate multiple memory files:
For more details about the lm32 command, refer to the “Software
Development Utilities” chapter of the LatticeMico32 Software Developer User
Guide.
/cygdrive/c/bug/57989/ecp2/spiflashprogrammer/Debug/.fgdbini:1: Error in
sourced command file:
localhost:1000: Connection refused.
(gdb)
If you see this error, start LatticeMico System SDK Shell by choosing Start >
Lattice Diamond > Accessories > LatticeMico System SDK Shell, and run
tcp2jtagvc2 before you perform LatticeMico32 Flash Deployment.
This issue is seen on Red Hat Enterprises versions 4, 5, and 6. The Windows
operating system does not have this issue.
The line should be modified so that the “D” in the word “Debug” is upper-case,
as follows:
PLATFORM_BLD_CFG=Debug
This issue happens on RHEL 6-64 if the 32-bit library libz.so.1 is not installed
before gtk2-2.18.9-6.el6.i686.
The gtk2-2.18.9-6.el6.i686 file does not report the dependency for 32-bit
libz.so.1 library properly. If 32-bit libz.so.1 is not installed when you install
gtk2-2.18.9-6.el6.i686, you will receive a warning and an installation
“Complete!” message. The following is an example of a warning and a
“Complete!” message:
The small “spash screens” issue has no impact on the actual installation of
LatticeMico System software. The software will work. However, as a
workaround to avoid the small “splash screens” issue, install the 32-bit library
libz.so.1 before gtk2-2.18.9-6.el6.i686.
The fix is to set the PATH environment variable using the following syntax:
export PATH=/micosystem/gtools/bin:$PATH
This should only be a problem if running Diamond 1.1 MSB, as this has been
fixed in Diamond 1.2 MSB.
For example:
ispVM Sysem
USB2 cables do not work using RedHat 32-bit Linux
OS
USB2 cables do not work in ispVM System using RedHat 32-bit Linux OS.
ORCAstra
ORCAstra fails when setting up the JTAG Hub
interface
ORCAstra gets random errors when attempting to set up the JTAG Hub
interface to a LatticeECP3 PCI Express Evaluation Board. ORCAstra reports
one of several errors:
“ORCA-stra has encountered a problem and needs to close.”
All ones were written, so ORCAstra reverts to demo mode.
Corrupted data transmission, so ORCAstra reverts to demo mode.