Informe 1

Descargar como docx, pdf o txt
Descargar como docx, pdf o txt
Está en la página 1de 5

1

Compuertas lógicas en Xilinx


Ingeniera en telecomunicaciones
Karen Acuña León 1400889
Universidad Militar Nueva Granada
Bogotá, Colombia

II. OBJETIVOS.
RESUMEN: Este escrito presenta los códigos que fueron
utilizados en la realización de las respectivas compuertas
propuestas para la práctica de laboratorio, además de esto  Conocer el diseño interno de las diferentes
incluye las tablas del funcionamiento de cada compuerta y las compuertas lógicas propuestas en el laboratorio
imágenes capturadas con el computador por medio del para así obtener un mejor desempeño de cada
software Xilinx para comprobar que el código trabajara una.
correctamente.
 Determinar los comportamientos de cada una de
ABSTRACT: This paper presents the codes that were used in las compuertas lógicas por medio de las tablas de
the preparation of the respective Gates proposed for the verdad.
laboratory, in addition to this practice includes tables of each  Familiarizarse con el software Xilinx y con las
gate operation and the images captured with the computer herramientas de simulación de los circuitos
through the Xilinx software to verify that the code will work digitales que se utilizarán para la realización de
correctly. las prácticas.
PALABRAS CLAVES: Códigos, Xilinx, compuertas.  Lograr una esquematización correcta de cada
uno de los códigos correspondientes a las
I. INTRODUCCIÓN compuertas lógicas.

III. MARCO TEÓRICO.


ste informe se basa en el conocimiento y manejo del
E software Xilinx, siendo este como ya se sabe una poderosa
herramienta, en el uso de la lógica digital, ya que permite
COMPUERTAS LÓGICAS: Una compuerta lógica es un
circuito lógico cuya operación puede ser definida por una
conocer mucho más a fondo, en el caso de esta guía de
función del álgebra lógica.
laboratorio las compuertas lógicas.
TABLA DE VERDAD: Se llama tabla de verdad de una
Para hablar más acerca del tema de las compuertas lógicas, se
función lógica a una representación de la misma donde se
puede decir primero que todo que estas operan en modo
indica el estado lógico “1” o “0” que toma la función lógica
binario, esta característica que poseen los circuitos lógicos
para cada una de las combinaciones de las variables de las
permite utilizar el álgebra booleana como una herramienta
cuales depende.
para el análisis y diseño de sistemas digitales.
INVERSOR: Un inversor es un circuito lógico que tiene una
Las compuertas lógicas, son una clase de dispositivos
sola entrada y una sola salida. La salida del inversor se
electrónicos, que han sido conformados a partir de otros
encuentra en el estado lógico “1” si y solo si la entrada se
componentes electrónicos, y son físicamente operadores
encuentra en el estado lógico “0”. Esto significa que la salida
booleanos. Generalmente, cuando algún circuito electrónico
toma el estado lógico opuesto al de la entrada.
requiere del uso de alguna compuerta lógica, esta no es
construida componente a componente, por el contrario se
COMPUERTA LÓGICA AND: Las puertas lógicas AND son
recurre a circuitos integrados que están especializados y que
circuitos de varias entradas y una sola salida, caracterizadas
contienen compuertas completas en su interior.
porque necesitan disponer de un nivel 1 en todas las primeras
para que también la salida adopte ese nivel.
2

COMPUERTA LÓGICA NAND: La función NAND es la Library ieee;


negación de la función Y AND. Se necesita que exista nivel 1 use ieee.std_logic:1164.all;
en todas las entradas para obtener el mismo nivel en la salida, entity COMPUERTAAND is
en una NAND el nivel de la salida seria 0 en las mismas port (
condiciones. A:in std_logic;
B:in std_logic;
COMPUERTA LÓGICA OR: La función OR, es la que solo Y:out std_logic;
necesita que exista una de sus entradas a nivel 1 para que la );
salida obtenga este mismo nivel. end COMPUERTAAND;
architecture behavioral of COMPUERTAAND is
COMPUERTA LÓGICA NOR: La función NOR consiste en la begin
negación de la OR, o sea, así como esta suministra nivel 1 a su process (A,B) begin
salida si cualquiera de las entradas que posee está a nivel 1, if (A='1' and B='1') then
una puerta NOR se comporta justamente al revés. En la Y<='1';
función NOR es suficiente aplicarle una cualquiera de sus else
entradas para que niegue su salida Y<='0';
end if;
COMPUERTA LÓGICA XOR: La función OR exclusiva se end process;
caracteriza porque su salida está a nivel 1 siempre y cuando end behavioral;
también lo estén un número impar de sus entradas.
Una vez finalizada la realización del código se continuó con la
COMPUERTA LÓGICA XNOR: Es la función negada de la compilación en el software, para poder comprobar por medio
compuerta EX - OR y es el contrario de la XOR, su salida de la pestaña de synthesize-XST su funcionamiento, una vez
presenta nivel 1 cuando sus entradas se encuentran en el fue compilado el código, en esta pestaña se generó un signo de
mismo nivel, sin importar que dicho nivel sea 1 o 0, al igual aprobación, como se observa en la figura 1.
que las XAND.

XILINX: Software de programación, diseño e implementación


de circuitos.

IV. REALIZACIÓN DE LOS CÓDIGOS PARA LAS


COMPUERTAS LÓGICAS.

Para comenzar con la realización del laboratorio, se realizó


primero la tabla de verdad de la compuerta AND, la cual se
presenta en la tabla 1.

A B Y Fig 1. Código generado para la compuerta lógica AND.

0 0 0 Una vez se terminó este código se continuó con el desarrollo


de la compuerta lógica NAND, para el desarrollo de este
0 1 0 código fue necesario realizar primero la tabla de verdad
respectiva de esta compuerta, la tabla de verdad se presenta en
1 0 0 la tabla 2.

1 1 1

Tabla 1. Tabla de verdad de la compuerta AND.

Luego de obtener la tabla se diseñó el código para una


compuerta lógica AND en el software Xilinx, el código para
esta compuerta se presenta a continuación.
3

A B Y A B Y

0 0 1 0 0 0

0 1 1 0 1 1

1 0 1 1 0 1

1 1 0 1 1 0

Tabla 2. Tabla de verdad de la compuerta NAND. Tabla 3. Tabla de verdad de la compuerta OR.

Después de obtener la tabla de verdad para la compuerta Después de finalizar la tabla de verdad se prosiguió a
NAND, se procedió a realizar el código en el software Xilinx. desarrollar el siguiente código en el software Xilinx.
El código se presenta a continuación
library IEEE;
library IEEE; use IEEE.std_logic_1164.all;
use IEEE.std_logic_1164.all; entity cor is
entity cnand is port (
port ( A: in bit;
A: in bit; B: in bit;
B: in bit; Y: out std_logic;
Y: out bit; );
); end cor;
end cnand; architecture behavioral of com_nor is
architecture behavioral of cnand is begin
begin if (A='0' and B = '0') then
if (A='1' and B = '1') then Y = '0';
Y = '0'; else
else Y = '1';
Y = '1'; end if;
end if; end behavioral;
end behavioral;
Posteriormente se procedió a comprobar el funcionamiento del
Luego de finalizar el código se procedió con la compilación en código compilándolo en el software y obteniendo el símbolo
el software Xilinx, para luego comprobar su funcionamiento de aprobación en la respectiva pestaña, como lo muestra la
en la pestaña synthesize-XST, obteniendo un símbolo de figura 3.
aprobación observado en la figura 2.

Fig 3. Código generado para la compuerta lógica OR.


Fig 2. Código generado para la compuerta lógica NAND.
Una vez se terminó este código se continuó con el desarrollo
Se repitió el proceso anterior para la compuerta OR, hallando de la compuerta lógica NOR, para el desarrollo del se requería
primero su tabla de verdad como se muestra en la tabla 3. la tabla de verdad, la cual se presenta en la tabla 4.
4

A B Y
A B Y
0 0 1
0 0 0
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 0
Tabla 4. Tabla de verdad de la compuerta NOR.
Tabla 5. Tabla de verdad de la compuerta XOR.
Posteriormente se diseñó el código para la compuerta NOR,
dicho código se presenta a continuación. Luego de esto se continuó con el mismo proceso, para la
obtención del código en el software, realizando su respectivo
Library IEEE; diseño, el código obtenido es el siguiente.
use IEEE.std_logic_1164.all;
entity cnor is Library IEEE;
port ( use IEEE.std_logic_1164.all;
A: in std_logic; entity cxor is
B: in std_logic; port (
Y: out std_logic A: in bit;
); B: in bit;
end cnor; Y: out bit;
architecture behavioral of cnor is );
begin end cxor;
if (A=’0' and B = ’0') then architecture behavioral of cxor is
F <= ’1'; begin
else if (A = B) then
F <= ’0'; Y='0';
end if; else
end behavioral; F='1';
end if;
Con la obtención de este código se procedió a realizar la end behavioral;
compilación en Xilinx para comprobar su funcionamiento,
como se muestra en la figura 4. Luego de finalizar el código de la compuerta XOR se procedió
con la compilación del mismo en el software Xilinx, para
luego proceder a comprobar su funcionamiento en la pestaña
synthesize-XST, logrando así un símbolo de aprobación
observado en la figura 5.

Fig 4. Código generado para la compuerta lógica NOR.

Una vez se terminó el desarrollo de esta compuerta se


continuó con la compuerta XOR, para la cual también fue
necesaria la tabla de verdad que se presenta en la tabla 5. Fig 5. Código generado para la compuerta lógica XOR.
5

Una vez se terminó el código para la compuerta XOR, se


continuó con el desarrollo de la compuerta lógica XNOR, para
el desarrollo de este código fue necesario realizar primero la
tabla de verdad respectiva de esta compuerta, la tabla de
verdad se presenta en la tabla 6.

A B Y

0 0 1

0 1 0 Fig 6. Código generado para la compuerta lógica XNOR.

1 0 0

1 1 1 V. ANÁLISIS DE RESULTADOS

Tabla 6. Tabla de verdad de la compuerta XNOR.  Se pudo observar que la sintaxis utilizada en la
realización de cada código fue la correcta.
Posterior al desarrollo de la tabla de verdad se desarrolló el
siguiente código en el software Xilinx.
 Se comprobó que a partir de la creación de la tabla de
library IEEE; verdad se puede hacer el código respectivo para cada
use IEEE.std_logic_1164.all; compuerta.
entity cxnor is
port (  Se observó que se necesita comprender a fondo la
A: bit; descripción de las entidades, para poderlas
B: bit;
implementar el cada uno de los códigos realizados.
Y: bit;
);
end cxnor; VI. CONCLUSIONES
architecture behavioral of cxnor is
begin
if A = B then  Se pudo observar que para poder realizar cada uno de
Y='1'; los códigos, era muy importante saber cómo
else declaraban cada una de las variables en el software
Y='0'; Xilinx, ya que cada componente de este código
end if; cumple con una función específica.
end behavioral;

Continuo a esto se procedió a comprobar el funcionamiento


del respectivo código para la compuerta XNOR compilándolo  Al realizar la práctica se pudo conocer el diseño
en el software y obteniendo el símbolo de aprobación en la interno que opera en las diferentes compuertas
respectiva pestaña, como lo muestra la figura 6. lógicas propuestas en el laboratorio y comprobar el
comportamiento de las compuertas lógicas mediante
la ejecución de las tablas de verdad.

 Se logró una familiarización con el software Xilinx y


con las herramientas de simulación de los circuitos
digitales propuestas, además de lograr una correcta
esquematización de cada uno de los códigos
correspondientes a las compuertas lógicas.

También podría gustarte