2023irds Fac

Download as pdf or txt
Download as pdf or txt
You are on page 1of 77

INTERNATIONAL

ROADMAP
FOR
DEVICES AND SYSTEMS

2023 UPDATE

FACTORY INTEGRATION

THE IRDS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY
COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS OR EQUIPMENT.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
ii

© 2023 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any
current or future media, including reprinting/republishing this material for advertising or promotional purposes, creating
new collective works, for resale or redistribution to servers or lists, or reuse of any copyrighted component of this work in
other works.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
iii

Table of Contents
Acknowledgments ................................................................................................................ vi
1. Introduction .....................................................................................................................1
1.1. Current State of Technology ............................................................................................. 1
1.2. Drivers and Technology Targets ....................................................................................... 2
1.3. Vision of Future Technology ............................................................................................. 4
1.4. Background Information.................................................................................................... 4
2. Scope of Report..............................................................................................................7
2.1. Introduction....................................................................................................................... 7
3. Summary and Key Points ...............................................................................................8
3.1. What Is New in the 2022 Edition? ..................................................................................... 8
4. Challenges......................................................................................................................9
5. Technology Requirements ............................................................................................ 11
5.1. Summary ..................................................................................................................... 11
5.2. Factory Operations Needs .............................................................................................. 14
5.3. Production Equipment Needs ......................................................................................... 17
5.4. Material Handling Systems Needs .................................................................................. 21
5.5. Factory Information and Control Systems Needs ............................................................ 21
5.6. Facilities Needs .............................................................................................................. 23
5.7. Security Needs ............................................................................................................... 28
5.8. Smart Manufacturing Needs ........................................................................................... 31
6. Potential Solutions ........................................................................................................ 49
6.1. Factory Operations Potential Solutions ........................................................................... 52
6.2. Production Equipment Potential Solutions ...................................................................... 52
6.3. Material Handling Systems Potential Solutions ............................................................... 54
6.4. Factory Information and Control Systems Potential Solutions ......................................... 55
6.5. Facilities Potential Solutions ........................................................................................... 56
6.6. Security Potential Solutions ............................................................................................ 57
6.7. Smart Manufacturing Potential Solutions ........................................................................ 58
7. Cross Teams ................................................................................................................ 62
7.1. Environmental, Safety, Health, and Sustainability (ESH/S) ............................................. 63
7.2. Yield Enhancement ........................................................................................................ 64
7.3. Metrology ..................................................................................................................... 66
7.4. Lithography..................................................................................................................... 66
7.5. Multi-IFT Issue: Wafer Defect Metrology ......................................................................... 67
7.6. Multi-IFT Issue: Yield Management for Packaging and Assembly ................................... 67
8. Emerging Concepts and Technologies ......................................................................... 67
8.1. Business Paradigm Change: Migration to Cooperative Services-based Approach to FI.. 67
8.2. Supply Chain Management............................................................................................. 67
8.3. Future Control Paradigms ............................................................................................... 68
9. Conclusions and Recommendations ............................................................................ 69
10. References ................................................................................................................... 70

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
iv

List of Figures
Figure FAC1 Societal Forces Impacting Challenges and Opportunities in FI ....................... 4
Figure FAC2 Factory Integration Scope ............................................................................... 7
Figure FAC3 Phased Scope of SEMI Standards Work to Support PE Energy Savings .......20
Figure FAC4 Total AMC concept ........................................................................................24
Figure FAC5 A Smart Manufacturing vision for the microelectronics industry.[3] ................31
Figure FAC6 Illustration of how Smart Manufacturing (SM) tenets are organized in the FI
roadmap ........................................................................................................34
Figure FAC7 Illustration of the continuum of reactive, predictive and prescriptive
technologies ..................................................................................................38
Figure FAC8 Example of Defining the Dimensions of Analytics Capabilities [3] ..................41
Figure FAC9 Illustration of Areas Where Algorithmic Approaches are Best Suited for
Application [26]. .............................................................................................41
Figure FAC10 Digital Twin representation from the perspective of the International Society of
Automation (ISA-95) Levels [19]. ...................................................................43
Figure FAC11 Supply Chain Model SCOR ...........................................................................47
Figure FAC12 Illustration of how SME expertise is incorporated into the knowledge network.
[23] ................................................................................................................49
Figure FAC13 Factory Operations Potential Solutions ..........................................................52
Figure FAC14 Production Equipment Potential Solutions .....................................................53
Figure FAC15 Material Handling Systems Potential Solutions ..............................................54
Figure FAC16 Factory Information and Control Systems Potential Solutions ........................55
Figure FAC17 Facilities Potential Solutions ..........................................................................56
Figure FAC18 Security Potential Solutions ...........................................................................57
Figure FAC19 Big Data Potential Solutions ..........................................................................58
Figure FAC20 ARPP Potential Solutions ..............................................................................59
Figure FAC21 AAA Potential Solutions .................................................................................60
Figure FAC22 DT Potential Solutions ...................................................................................60
Figure FAC23 IIoT and the Cloud Potential Solutions ...........................................................61
Figure FAC24 ISC Potential Solutions ..................................................................................61
Figure FAC25 KN Potential Solutions ...................................................................................61

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
v

List of Tables
Table FAC1 Acronyms Used in This Report ....................................................................... 4
Table FAC2 Standards Important to the Factory Integration Roadmap ............................... 6
Table FAC3 Factory Integration Difficult Challenges ........................................................... 9
Table FAC4 Key Focus Areas and Issues for FI Functional Areas Beyond 2020 .............. 12
Table FAC5 Stabilized FI Metrics with Recommended Values (Critical but Educational
Values) ......................................................................................................... 14
Table FAC6 Factory Operations Technology Requirements ............................................. 17
Table FAC7 Context Data Importance for Good Equipment Visibility ................................ 19
Table FAC8 Production Equipment Technology Requirements ........................................ 21
Table FAC9 Material Handling Systems Technology Requirements ................................. 21
Table FAC10 Factory Information and Control Systems Technology Requirements ........... 23
Table FAC11 Facilities Technology Requirements ............................................................. 28
Table FAC12 Security Technology Requirements .............................................................. 31
Table FAC13 Big Data (BD) Technology Requirements ..................................................... 37
Table FAC14 Augmenting Reactive with Predictive and Prescriptive (ARPP) Technology
Requirements ............................................................................................... 40
Table FAC15 Advanced Analytics and Applications (AAA) Technology Requirements ....... 41
Table FAC16 Digital Twin (DT) Technology Requirements ................................................. 44
Table FAC17 Key metrics that currently drive the cloud versus edge-device decision process
for placement of solution components........................................................... 46
Table FAC18 Industry Internet of Things (IIoT) and the Cloud Technology Requirements .. 46
Table FAC19 Integrated Supply Chain (ISC)Technology Requirements ............................. 48
Table FAC20 Knowledge Network Technology Requirements ............................................ 49
Table FAC21 Stabilized FI Potential Solutions with Description .......................................... 50
Table FAC22 Crosscut Issues Relating to Factory Integration ............................................ 62

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
vi

ACKNOWLEDGMENTS
Factory Integration International Focus Team (IFT) active members— Daniel Babbs, Eric Collart, Neil Condon,
Terry Cox, Gino Crispieri, Peter Csatary, Mike Czerniak, Wolfgang Eissler, Rudy Federici, Russell Fitzpatrick,
Albert Fuchigami, Astrid Gettel, Raymond Goss, Alrev Green, David Gross, Ken Harris, Parris Hawkins, Karey Holland,
Chih-Wei (David) Huang, David Huntley, Leo Kenny, Vladimir Kraz, Chris Jones, Slava Libman, Tom Linton,
John Maline, Supika Mashiro, Mitsuhiro Matsuda, Chuck McCain, Rick McKee, Jason Mechler, Steve Moffatt,
Jairo Moura, James Moyne, Chris Muller, Phil Naughton, Andreas Neuber, Markus Pfeffer, Lothar Pfitzner,
Inna Skvortsova, Doug Suerich, Ines Thurner, Mitsuya Tokumoto, Tadamasa Tominaga, Don Yeaman.
The Factory Integration IFT would also like to thank SEMI, the Advanced Semiconductor Manufacturing Conference
(ASMC) organizers, and the Advanced Process Control (APC) Conference (renamed Advanced Process Control Smart
Manufacturing-APCSM in 2020) organizers for supporting technical aspects of a smart manufacturing roadmap for the
industry; and the APC 2018 Conference organizers for hosting the APC Council meeting in which a survey entitled “Data
driven versus subject matter expertise (SME) enhanced modeling for APC” was executed, survey responses were
consolidated and consensus was reached that provided input into the Factory Integration chapter.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Introduction 1

FACTORY INTEGRATION
1. INTRODUCTION
The Factory Integration (FI) chapter of the IRDS is dedicated to ensuring that the microelectronics manufacturing
infrastructure contains the necessary components to produce items at affordable cost and high volume. Realizing the
potential of Moore’s Law requires taking full advantage of device feature size reductions, new materials, yield improvement
to near 100%, wafer size increases, and other manufacturing productivity improvements. This in turn requires a factory
system that can fully integrate additional factory components and utilize these components collectively to deliver items that
meet specifications determined by other IRDS international focus teams (IFTs) as well as cost, volume, and yield targets.
Preserving the decades-long trend of 30% per year reduction in cost per function also requires capturing all possible cost
reduction opportunities. These include opportunities in front-end as well as back-end production, facilities, yield
management and improvement, increased system integration such as up and down the supply chain and improving
environmental health and safety. FI challenges play a key role realizing these opportunities and many FI technology
challenges are becoming limiters to achieving major technology milestones.
NOTE: Due to Covid-19 impact of the past two years, there was little progress in Factory Integration Technology
implementations. Thus, many of the technology requirements and potential solutions have been moved out by two years.
1.1. CURRENT STATE OF TECHNOLOGY
The overall FI scope addresses several challenges/issues that threaten to slow the industry’s growth, including:
1. Complex business models with complex factories—Rapid changes in microelectronics technologies, business
requirements, and the need for faster product delivery, high mix, and volatile market conditions continue to make
effective and timely factory integration to meet accelerated ramp and yield targets more difficult over time. The
factory now must integrate an even larger number of new and different equipment types, software applications and
data to meet complex market objectives and customer requirements. High mix and low-volume product runs are
making mask cost, fabrication, and FI extremely difficult in a market where average selling prices are declining.
2. High potential of waste generation and inclusion in factory operations—Continuous improvement of factory
productivity with more comprehensive visualization and inclusion of waste and resource utilization targets is
necessary to achieve growth and cost targets.
3. Production equipment utilization and extendibility—Production equipment is not keeping up with reliability,
availability, and utilization targets, which has an enormous impact on capital and operating costs. Reliability,
availability and especially utilization are also impacted by factory operation factors.
4. Significant productivity improvement either by next wafer size manufacturing paradigm or through 300 mm
manufacturing technology improvement—the industry needs to review the productivity losses in 300 mm and
improve prior to the next wafer size transition so to make this transition more cost-effective. Due in-part to the
challenges associated with transition to the next wafer size including wafer size transition under continued More
Moore 2D scaling trends, the projected date for transition has been moved out to 2029.
5. Augmenting reactive with Predictive and Prescriptive operations—The industry needs to augment the existing
reactive mode of operation, changing reactive operations to predictive operations wherever possible, but
continuing to be able to support reactive operation. This will provide significant opportunities for cost reduction
and quality and capacity improvement. Examples include predictive maintenance (PdM), metrology prediction via
virtual metrology (VM), fault prediction, predictive scheduling, and yield prediction.
6. Control system evolution—Control systems will continue to become more granular (e.g., lot-to-lot, to wafer-to-
wafer, to within wafer), and higher speed (e.g., run-to-run to real-time quality parameter control). Centralized
versus various levels of distributed control is also being evaluated, both in a horizontal (e.g., distributed
applications and control optimized across the supply chain) and vertical (e.g., internal tool fault detection tied to
higher level maintenance activities) sense. Big data characteristics including veracity (i.e., data quality including
accuracy, synchronization and context richness), value (including algorithms) and velocity (i.e., rates) must
improve to support the evolution of control systems and will also serve to realize new control system concepts.
7. Supply chain integration and management—FI connectivity up and down the supply chain leveraging the
accelerated information technology (IT) trends will be necessary to support tightening of production methods (e.g.,

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
2 Introduction

associated with lean manufacturing) and addressing business requirements (e.g., for yield correlation, warranty
traceability, and cost reduction).
8. Ramp-up of new technologies—Closer integration of the industry is required for successful ramp-up of new
technology nodes and device architectures. There is a need for improved hardware and software capabilities as
well as more rapid reliable deployment of these capabilities. Examples include process characterization involving
nascent device materials, chemicals, gases, and consumables, where the wafer process environments are far better
protected to prevent productivity degradation.
9. Security─ Information security will be associated with significant issues in addressing almost all difficult
challenges in the near term and to a certain extent in the long-term as shown in Table FAC3. It will be made more
challenging with the increase of data shared across the factory integration space. For example, the concept of the
“connected fab,” which is one of central concepts of Industry 4.0/Smart Manufacturing, even indicates potential
direct data exchanges beyond the factory integration space. While data must be made available to promote fault
detection and classification (FDC), predictive maintenance (PdM), advanced process control (APC), etc. at more
granular levels (e.g., lot based to single wafer oriented for maximizing productivity), protection of data and
intellectual property (IP) within data will become more complicated and sometimes contradictive to needs of data
availability. Typical issues are listed below: (Note that some of these issues are addressed in SEMI E169-0616:
Guide for Equipment Information and System Security, however this is a guide and thus does not contain any
specific standards requirements.)
a. Protection of crucial production parameter data (e.g. recipe, equipment parameters) from unauthorized
viewing or changing within the factory including between factory, original equipment manufacturers (OEMs)
and 3rd party suppliers [1]
b. Managing access authentication mechanisms for both human and non-human entities (e.g., software program)
c. Managing user class read-write privileges to support user capabilities while preventing access that would
result in breach of IP security or factory operation issues
d. Maximizing data availability (e.g., log-data for improved equipment performance) while maintaining
protection of device manufacturer’s manufacturing IP and equipment suppliers’ proprietary information (e.g.,
equipment design and control)
e. Maintaining software security when interacting with 3 rd parties on the factory floor
f. Maintaining software and communication performance in the face of security measures such as antivirus
software operations or compartmentation firewalls.
g. Protecting quality and integrity of big data and application of big data analytics to identifying security issues
h. Protection of the facility’s instrumentation and control systems from attack
i. Protecting fab and equipment operation control systems from unauthorized operation or alteration from both
inside fab and outside.
10. The move to Smart Manufacturing (SM)—Smart manufacturing (SM) is a term “generally applied to a movement
in manufacturing practices towards integration up and down the supply chain, integration of physical and cyber
capabilities, and taking advantage of advanced information for increased flexibility and adaptability” 1. It is often
equated with “Industry 4.0” (I4.0), a term that originated from a project in the German government that promotes
a 4th generation of manufacturing that uses concepts such as cyber-physical systems, virtual copies of real
equipment and processes, and decentralized decision making to create a smarter factory. The industry needs to
embrace the movement to SM that incorporates advances in big data, augmenting reactive with Predictive and
Prescriptive, advanced analytics and applications, digital twin, industrial internet of things and the cloud, integrated
supply chain, and reliance on a knowledge network.
11. Challenges and issues associated with increased integration of FI with Yield and ESH/S solutions—As noted
above FI challenges and solutions directly impact aspects of ESH/S and Yield roadmaps and these roadmaps in
turn place requirements and provide direction for FI. This is exemplified in areas such as yield prediction and
energy savings.
1.2. DRIVERS AND TECHNOLOGY TARGETS
Societal driving forces and trends such as mobile devices and the internet of things (IoT) are impacting all areas of the
IRDS, however, as shown in Figure FAC1, these factors impact the evolution of FI from two perspectives, namely:

1 Wikipedia: Smart Manufacturing. Available online: https://en.wikipedia.org/wiki/Smart_manufacturing. Accessed September 2018

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Introduction 3

1. Requirements they place on product technologies that are delineated in roadmaps associated with other focus areas;
these technology requirements indirectly influence FI in terms of tighter process requirements with acceptable
yields, throughputs, and costs.
2. Requirements they place on FI technologies that directly impact FI in terms of aligning with these trends and
effectively leveraging these capabilities.
An analysis of perspective 1) can be found by studying the roadmaps found in other focus groups as illustrated in Figure
FAC1, and then determining how the FI roadmap addresses the related tighter process requirements. With respect to
perspective 2), the following is an example of how some of these drivers directly impact FI:
• The Cloud: The advent of the cloud and cloud-based technologies provides tremendous opportunities in terms of
analytics, addressing data volumes, coordination, enterprise-wide sharing, and commonality and leveraging
capabilities across industries. However, it also presents challenges in terms of security from attack, security for IP
protection, and performance.
• Big Data: The data explosion in manufacturing provides both challenges and opportunities for FI; a section of the
FI chapter was created in the ITRS 2013 Edition and enhanced in the ITRS 2.0 2015 Edition, as well as in the
IRDS 2017 whitepaper that describes these in detail.
• Mobility: Mobile devices have and will continue to enhance the capabilities of FI systems in terms of accessibility,
ergonomics and human-machine interaction, flexibility, portability, etc., but also can present many security
challenges as well as performance challenges.
• Green Technology: The movement towards greener technologies and subsequent requirements for reduction in
energy costs and “carbon footprint” significantly impact FI. First and foremost, they require that facilities
objectives such as energy consumption and ESH/S objectives such as contamination waste reduction be an integral
part of FI factory operation objectives.
• IoT: The definition of IoT varies in detail however the definition from Wikipedia is applicable in the context of
this report: “The internet of things is the network of physical devices, vehicles, home appliances, and other items
embedded with electronics, software, sensors, actuators, and network connectivity which enable these objects to
connect and exchange data.” [2]. IIoT (industrial internet of things) technologies provide opportunities in terms of
flexible connectivity and interoperability strategies for dissimilar system across the FI infrastructure. This
connectivity could be used for non-time-critical and human-in-the-loop activities when the communication is the
internet, however issues of security and response time variability must be considered. The connectivity could be
used for more time critical applications such as control with intranet connectivity.
• Supply Chain: An important trend in FI is tighter integration up and down the supply chain for improved quality,
traceability, efficiency, etc. This is discussed in further detail in sections 5.8.8 and 8.2.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
4 Introduction

Figure FAC1 Societal Forces Impacting Challenges and Opportunities in FI


1.3. VISION OF FUTURE TECHNOLOGY
The future of microelectronics manufacturing FI is imbued in large part in the tenets of “Smart manufacturing” (SM) and
Industry 4.0 (I4.0). “Smart Manufacturing” is a term “generally applied to a movement in manufacturing practices towards
integration up and down the supply chain, integration of physical and cyber capabilities, and taking advantage of advanced
information for increased flexibility and adaptability” [3–5]. It is often equated with “Industry 4.0”, a term that originated
from a project in the German government that promotes a 4th generation of manufacturing that uses concepts such as cyber-
physical systems, virtual copies of real equipment and processes, and decentralized decision making to create a smarter
factory [6,7]. Key tenets of this migration include leveraging big data infrastructures, integrating with the supply chain
network, leveraging advanced analytics, improving use of cyber-physical systems (CPS), improving the use of real-time
simulation through realizing the “digital twin,” and reliance on a knowledge network for using subject matter expertise
(SME) in an increasingly collaborative environment. These terms are expounded upon through this document, with detail
provided in Section 5.8. This migration is associated with a number of challenges ranging from moving from reactive to
predictive/prognostic mode of operation to addressing security associated with data sharing.
1.4. BACKGROUND INFORMATION
Important information that can be referenced to help in the understanding of the Factory Integration Roadmap report is
found below. This includes a listing of acronyms used, standards referenced and an introduction to table types that are not
necessarily found in other IRDS reports. Note that documents cited in this report can be found in Section 10.
1.4.1. ACRONYMS
The following acronyms are used in this report:
Table FAC1 Acronyms Used in This Report
Acrony
Meaning Acronym Meaning
m
Advisory Committee (AC) on Information Security and Data
ACSEC IT Information Technology
Privacy
International Technology Roadmap for
AMC Airborne Molecular Contamination ITRS
Semiconductors
AMHS Automated Material Handling System JIT Just-In-Time
Leadership in Energy and Environmental
APC Advanced Process Control LEED
Design
ARAM Automated Reliability, Availability, and Maintainability
LP Low Power
S Standard
ARPP Augmenting Reactive with Predictive and Prescriptive MES Manufacturing Execution System
BD Big Data MFL Maximum Foreseeable Loss
BEP Back End Process MHS Material Handling System

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Introduction 5

Acrony
Meaning Acronym Meaning
m
BKMs Best Known Methods NGOs Non-Government Organizations
National Institute of Standards and
CIA characterization, Inspection and analysis NIST
Technology
CIP Continuous Improvement Program NPW Non-Product Wafer
CPS Cyber-Physical System(s) NTP Networked Time Protocol
CPU Central Processing Unit OEE Overall Equipment Efficiency
CSA Control Systems Architectures OEM Original Equipment Manufacturer
CVD Chemical Vapor Deposition PCL Predictive Carrier Logistics
DFM Design for Manufacturing PCS Process Control Systems
DM Data Mining PdM Predictive Maintenance
DOT Deliver-On-Time PE Production Equipment
DS Decision Support PFC Perfluorocarbon
DT Digital Twin PIC Physical Interface and Carriers
EES Extremely Electrostatic Sensitive PM Preventative Maintenance
EESM Equipment Energy Saving Mode POC Point of Connection
EFEM Equipment Front-End Module POD Point of Delivery
EFM Electric Field Induced Migration POE Point of Entry
EHM Equipment Health Monitor POP Point of Process
EMI ElectroMagnetic Interference POS Point of Supply
EOS Electrical Overstress POU Point of Use
EOW Equipment Output Cycle Time Waste PPM Predictive and Preventative Maintenance
EPT Equipment Performance Tracking PTP Precision Time Protocol
ESA Electrostatic Attracted, Electrostatic Attraction R&D Research and Development
ESD Electrostatic Discharge R2R Run-to-Run (control)
Reliability, Availability, and
ESH/S Environmental, Safety, Health, and Sustainability RAM
Maintainability
EUV Extreme Ultraviolet RM Real Metrology
EUVL Extreme Ultraviolet Lithography ROI Return on Investment
ExD Excursion Detection (VM capability) RUL Remaining Useful Life
FC Fault Classification SCOR Supply Chain Operations Reference
SEMI Equipment Communication
FD Fault Detection SECS
Standard
Scanning Electron
SEM/TE
FDC Fault Detection and Classification Microscopy/Transmission Electron
M
Microscopy
Subsystem Energy Saving Mode
FEP Front end Process SESMC
Communication
Secured Foundation of Recipe
F-GHG fluorinated greenhouse gases SFORMS
Management Systems
FI Factory Integration SHL Super Hot Lots
FICS Factory Information and Control System SM Smart Manufacturing
FO Factory Operations SMet Smart Metrology (e.g., a VM capability)
FOUP Front Opening Unified Pod SMC Surface Molecular Contamination
Subject Matter Expertise, or Subject
FP Fault Prediction SME
Matter Expert
GEM Generic Equipment Model SOAP Simple Object Access Protocol
HSMS High-Speed SECS Message Services SOS Software as a Service
HVM High Volume Manufacturing SPC Statistical Process Control
I/O Input/Output STS need to define
I4.0 Industry 4.0 TH Throughput

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
6 Introduction

Acrony
Meaning Acronym Meaning
m
IC Integrated Circuit TR Technical Requirements
ID Identity UF Ultra-Filtration
IDM Integrated Device Manufacturer UPW Ultra-Pure Water
IFT International Focus Team VM Virtual Metrology
IGPT Insulated-Gate Bipolar Transistor W2W Wafer-to-Wafer (control)
IM Integrated Measurement WIP Work in Process
iNEMI International Electronics Manufacturing Initiative WIW Within Wafer (control)
IoT Internet of Things WTW Wait Time Waste
IIoT Industrial Internet of Things XML eXtensible Markup Language
IP Intellectual Property YEx Yield Excursion
IRDS International Roadmap for Devices and Systems YMS Yield Management System
ISMI International SEMATECH Manufacturing Initiative YP Yield Prediction
ISO International Standards Organization

1.4.2. STANDARDS
Several standards fall within the scope of the FI report and are important to the realization of the FI roadmap, as shown in
Table FAC2. These standards are listed here. Note that this list is not meant to be comprehensive; for a complete listing of
SEMI standards, refer to https://www.semi.org/en/products-services/standards.
Table FAC2 Standards Important to the Factory Integration Roadmap
Number Title
IEST-RP-CC012.2 Considerations in Cleanroom Design
ISO 14644-1 Cleanrooms and controlled environments, Part 1: Classification of air cleanliness
SEMI E5 SEMI Equipment Communications Standard 2 Message Content (SECS-II)
SEMI E6 Guide for Semiconductor Equipment installation Documentation
SEMI E10 Specification for Definition and Measurement of Equipment Reliability, Availability, and Maintainability (RAM) and
Utilization
SEMI E30 Specification for the Generic Model for communications and Control of Manufacturing Equipment (GEM)
SEMI E33 Specification for Semiconductor Manufacturing Facility Electromagnetic Compatibility
SEMI E37 High-Speed SECS Message Services (HSMS) Generic Services
SEMI E43 Guide for Measuring Static Charge on Objects and Surfaces.
SEMI E51 Guide for Typical Facilities Services and Termination Matrix
SEMI E54 Specification for Sensor/Actuator Network
SEMI E58 Specification for Automated Reliability, Availability, and Maintainability (ARAMS)
SEMI E78 Guide to Assess and Control Electrostatic Discharge (ESD) and Electrostatic Attraction (ESA) for Equipment
SEMI E87 Specification for Carrier Management (CMS)
SEMI E116 Specification for Equipment Performance Tracking
SEMI E120 Specification for the Common Equipment Model
SEMI E125 Specification for Equipment Self Description
SEMI E126 Specification for Equipment Quality Information Parameters
SEMI E129 Guide to Assess and Control Electrostatic Charge in A Semiconductor Manufacturing Facility
SEMI E132 Specification for Equipment Client Authentication
SEMI E133 Specification for Automated Process Control
SEMI E134 Specification for Data Collection Management
SEMI E138 XML Semiconductor Common Components
SEMI E148 Specification for Time Synchronization and Definition of the TS-Clock Object
SEMI E151 Guide for Understanding Data quality
SEMI E160 Specification for Communication of Data Quality
SEMI E163 Guide for the Handling of Reticles and Other Extremely Electrostatic Sensitive (EES) Items Within Specially
Designated Areas
SEMI E164 Specification for EDA Common Metadata
SEMI E167 Specification for Equipment Energy Saving Mode Communications (EESM)

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Scope of Report 7

SEMI E169 Guide for Equipment Information System Security


SEMI E170 Specification for Secured Foundation of Recipe Management Systems (SFORMS)
SEMI E171 Specification for Predictive Carrier Logistics (PCL)
SEMI E175 Specification for Subsystem Energy Saving Mode Communication (SESMC)
SEMI E176 Guide to Assess and Minimize Electromagnetic Interference (EMI) in a Semiconductor Manufacturing Environment
SEMI E187 Specification for Cybersecurity of FAB Equipment
SEMI E188 Specification for Malware Free Equipment Integration
SEMI S2 Environmental, Health, and Safety Guideline for Semiconductor Manufacturing Equipment
SEMI S23 Guide for Energy, Utilities, and Materials Use Efficiency of Semiconductor Manufacturing Equipment

1.4.3. EDUCATIONAL TABLES


Some of the technical challenges that had been identified in previous roadmap efforts have become more routine technical
requirements. Although their challenging natures are somewhat diminished, they still need close observation and continuous
improvement. Such items are summarized in the Educational FI Metrics Table FAC5.
Similarly, some of the potential solutions that were considered to address the technical challenges that had been identified
in previous roadmap efforts have been adopted but they are still in need of continuous improvement. Such former “potential”
solutions are summarized in the Educational Solutions Table FAC21.

2. SCOPE OF REPORT
2.1. INTRODUCTION
Microelectronics manufacturing extends across several manufacturing domains. FI’s scope is microelectronic
manufacturing or fabrication in front-end and back-end. The FI Focus team has addressed evolution of FI by providing an
extensible roadmap that 1) focuses on the commonality of certain functional areas, 2) supports roadmaps for specific
functional and physical areas, 3) addresses societal drives identified above, and 4) provides for improved integration of
Environmental, Safety, Health, and Sustainability (ESH/S) and a portion of Yield) objectives, requirements and solution.
The scope of the roadmap is summarized in Figure FAC2.

Figure FAC2 Factory Integration Scope

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
8 Summary and Key Points

2.1.1. FACTORS CONTRIBUTING TO DEFINING FACTORY INTEGRATION SCOPE


The following are key factors impacting the scope of FI:
Addressing the evolution of Factory Integration—The FI Focus team has addressed evolution of FI by providing an
extensible roadmap that 1) focuses on the commonality of certain functional areas, 2) supports roadmaps for specific
functional and physical areas, 3) addresses societal drives identified above, and 4) provides for improved synergy with
ESH/S and YE objectives, requirements, and solution (see below).
Improving integration of FI with ESH/S and Yield—In addressing the evolution of FI, the IRDS community realized that
many FI challenges and solutions directly impact aspects of the ESH/S and Yield roadmaps, and many of the requirements
of ESH/S and Yield roadmaps placed requirements and provide direction for FI. Thus, it became clear that improved
integration of these three areas was needed; as a result, sub-sections are included in the FI chapter cross-team section that
discuss the synergy with the ESH/S and Yield roadmaps, respectively.
Cross-leveraging 300 mm and 450 mm factory challenges—We have addressed several 300 mm challenges, but it is still
necessary to continue to address these challenges as we migrate to 450mm. We need to provide solutions that can be used
in both domains as much as possible so as to leverage economy of scale and resource pooling. FI issues such as: 1) cycle
time improvement, 2) yield improvement, 3) productivity waste reduction, 4) higher process controllability, and 5)
reduction in utilities, power consumption and emission with even more progressive targets, should have very similar
solutions and roadmaps in 300 mm and 450 mm. Some FI issues such as challenges in AMHS and facilities will have
solution components that are similar for 300 mm and 450 mm, but other components that are different. This distinction is
delineated in this report.
The re-emergence of 200 mm—The increased heterogeneity and variety of devices combined with market pressures such
as those associated with IoT solutions has given rise to 200 mm production as an important component of microelectronics
ecosystem. While basic tenants of FI challenges and potential solutions associated with 300 mm translate well to 200 mm,
there are specific FI challenges, such as connectivity, variability, and availability of replacement components that must be
addressed so that 200 mm can remain as a viable production capability in the ecosystem.
Impact of non-microelectronics-manufacturing FI technologies—As we move forward with smart manufacturing in Factory
Integration, technology solutions such as big data, supply chain integration, cloud-based computing and security developed
across industries will increasingly impact the microelectronics manufacturing FI roadmap. Thus, the FI roadmap will
increasingly define the roadmap for many technology solutions through reference to general manufacturing trends.

3. SUMMARY AND KEY POINTS


The FI chapter of the IRDS is dedicated to ensuring that the microelectronics manufacturing infrastructure contains the
necessary components to produce items at affordable cost and high volume. This report summarizes the challenges and
potential solutions associated with that objective and plots a roadmap for addressing the challenges and incorporating the
potential solutions. These challenges and solutions are broken down by functional area, with some of the functional areas
aligned with a physical component of factory integration, such as PE or AMHS, and others aligned with overarching areas
such as ARPP, big data, and security. A signification portion of the FI roadmap addresses trends associated with smart
manufacturing and Industry 4.0, components of which are described throughout this report.
Security subchapter summarizes basic security challenges and solution areas, such as data partitioning and IP security,
though security related technology requirements remain in each applicable section that needs security considerations. Future
roadmap versions will seek to better define an evolving FI security roadmap by providing consolidated technology
requirements in the security section.
3.1. WHAT IS NEW IN THE 2023 UPDATE?
3.1.1. SECURITY UPDATE
Security has been addressed in the FI beginning with ITRS 2.0 2015. In 2018 update of the IRDS, the security topics were
consolidated and upgraded as a new subchapter that summarizes basic security challenges and solution areas, such as data
partitioning and IP security because security permeates across different functional areas, and there are significant levels of
commonalities in potential solutions to address security issues in these functional areas identified in the FI chapter. A
technology requirement table was added in 2021. In 2022 updates were made to the standards table FAC2 and associated
narrative to capture the addition of SEMI standards E187 and E188 in the security area.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Challenges 9

3.1.2. SMART MANUFACTURING SUBCHAPTER UPDATE


Smart Manufacturing was added as a new sub-chapter of the Factory Integration chapter in 2020 IRDS. In the 2020 version
of the IRDS, sections on each of the smart manufacturing tenets were provided, each with narrative, technology
requirements tables and potential solutions tables. Some updates and refinement of technology requirements roadmap are
made in this edition. In 2021 and 2022 these sections were further refined with references updated to reflect technical
progress in this and other industries. Technical challenge and potential solutions tables will be added in later years.

4. CHALLENGES
Difficult challenges associated with factory integration span multiple technology generations and often cut across the
factory functional areas. Near-term difficult challenges for the factory integration include business, technical, and
productivity issues that must be addressed, as shown in Table FAC3.
Table FAC3 Factory Integration Difficult Challenges
Difficult Challenges Description
through 2028
1. Responding to rapidly • Increased expectations by customers for faster delivery of new and volume products (design → prototype and pilot
changing, complex → volume production)
business requirements
• Developing metrics on performance of factory integration systems and understanding how these metrics translate to
factory financial information
• Rapid and frequent factory plan changes driven by changing business needs
• Ability to load the fab within manageable range under changeable market demand, e.g., predicting planning and
scheduling in real-time
• Enhancement in customer visibility for quality assurance of high reliability products; tie-in of supply chain and
customer to Factory Information and Control Systems (FICS) operations
• Addressing the Big Data issues, thereby creating an opportunity to uncover patterns and situations that can help
prevent or predict unforeseeable problems difficult to identify such as current equipment processing / health tracking
and analytical tools
• To address security gaps (e.g., data ownership, access authentication and authorization systems) that have
prevented microelectronics industry's migration to Cloud based Big Data Analytics
• To strengthen information security: Maintaining data confidentiality (the restriction of access to data and services
to specific machines/human users) and integrity (accuracy/completeness of data and correct operation of services),
while improving availability.
• Everyone is very protective of the data they own, which prevent data sharing among parties. ==> To allow data
sharing with appropriate level of IP protection across systems and parties to realize Smart Manufacturing tenets.
• To determine mechanisms to achieve higher productivity per square foot (meter) of space without incurring higher
COO of equipment.
2. Managing ever • Quickly and effectively integrating rapid changes in process technologies
increasing factory
complexity
• Complexity of integrating next generation equipment into the factory
• Increased requirements for high mix factories. Examples are (1) significantly short life of products that calls
frequent product changes, (2) the complex process control as frequent recipe creations and changes for process tools
and frequent quality control criteria due to small lot sizes, (3) managing load on tools
• Manufacturing knowledge and control information needs to be shared as required among factory operation steps
and disparate factories in a secure fashion
• Need to concurrently manage new and legacy FICS software and systems with increasingly high interdependencies
• Need to protect fab and equipment operation control systems as well as facility’s instrumentation and control
systems from unauthorized operation or alteration from both inside fab and outside in consideration with
- Synchronizing/harmonizing security measures for various level of control systems (e.g., equipment, SCADA,
MES) in the Fab.
- Continuously supporting all updates for all systems critical for factory operation (extremely challenging for legacy
systems) without compromising their functionality, performance, and security
• Ability to model factory performance as part of an integrated supply chain to optimize output and improve cycle
time.
• Need to manage clean room environment for more environment susceptible processes, materials, and, process and
metrology tools
• Addressing the need to understand and minimize energy resource usage and waste; determining what the energy
usage profile actually is; e.g., need to integrate fab management and control with facilities management and control
• Providing a capability for more rapid adaptation, re-use and reconfiguration of the factory to support capabilities
such as rapid new process introduction and ramp-up.
• Communication protocols developed for semiconductor manufacturing are not aligned with trends in information
technology communication such as web services.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
10 Challenges

Difficult Challenges Description


through 2028
• Meeting challenges in maintaining yield and improving maintenance practices resulting from movement to new
process materials that may be corrosive, caustic, environmentally impacting, molecularly incompatible etc.
• Addressing factory integration challenges to assess and integrate EUV systems into the factory infrastructure
• Address process hazard management issues
• Addressing Airborne Molecular Contamination (AMC) challenges through possibly changing factory operation
approach (e.g., maintaining vacuum in specific areas), as well as providing necessary interfaces, information and
technologies (e.g., virtual metrology and APC).
• Minimizing and isolating sources of particulate contaminants that impact yield (e.g., defining mechanisms to detect
and measure, and analysis methods such as virtual metrology to isolate and predict).
• Maintaining equipment availability and productivity, and minimizing equipment variability, while managing
increase in sensors and systems, and associated data volume increases within and outside the equipment, coordinated
to support new paradigms (e.g., management of energy expended by the equipment and the fab in general, augmenting
reactive capabilities with predictive)
• Linking yield and throughput prediction into factory operation optimization.
• Achieving real-time simulation of all fab operations as an extension of existing system with dynamic updating of
simulation models
• Understanding and managing queue times (time between operations/segments) and production of product within
those times to achieve acceptable product quality
• Managing and protecting IP, avoiding security issues such as malware attacks, and protection of the facility’s
instrumentation and control systems from attack
• Addressing FI issues associated with implementing emerging technology revolutions (rather than evolutions) in
achieving production targets, including rapid integration of new tools, components and materials, leveraging existing
infrastructure, ramping up on new technology ramp-up
• Addressing shifting focus from line width pitch shrinks, to 3D and emerging disruptive technologies
3. Meeting factory and • Increased impacts that single points of failure have on a highly integrated and complex factory need the system to
equipment reliability, have multiple cross checks and software that can be adversarial to learn and improve the outcomes when one or more
capability, productivity failures occur.
and cost requirements per
the Roadmap
• Achieving better communication between equipment suppliers and users with respect to equipment requirements
and capabilities
• Improved bi-direction information exchange between equipment and factory systems to achieve equipment and
factory reliability, capability and productivity objectives
• Design-in of equipment capability visualization in production equipment; design-in of APC (R2R control, FD , FC
and SPC) in multiple levels (modules, production equipment, cells, floor, etc.) to meet quality requirements
• Equipment data, analytics and visualization to support equipment health monitoring (EHM)
• Address structured incorporation of subject matter expertise (SME) into the lifecycle of analytical solutions (from
planning through development, deployment and maintenance)
• Address security issues that hinders data sharing between equipment users and suppliers, and up and down across
the supply chain, which is needed to support advanced capability, reliability, and productivity solutions.
• Establish cost/benefit analysis templates for balancing IP/security risk against expected return, where parties seek to
collaborate through the exchange of specific data sets, so that parties can agree when to share data and when not.
• Standardize legal and commercial guidelines for value-sharing, where solutions for advanced capability, reliability
or productivity are developed through the collaboration of parties (each providing their own background data and
SME effort), so that data-derived IP resulting from collaborative efforts can benefit all parties appropriately.
• Developing and implementing methods that reduce the use of NPW (non-product wafers) and the associated lost
production time
• Reducing undesired wait-time waste; developing wait-time waste reporting for tools; providing standardized
equipment wait-time waste metrics reporting to support fab-wide equipment wait-time waste management
• Augmenting reactive with a predictive paradigm for scheduling, maintenance and yield management
• Meeting tighter and more granular control requirements such as wafer-to-wafer (e.g., single-wafer oriented) and
within wafer utilizing technologies such as virtual metrology
• Yield mining techniques that support root cause analysis for determination of contributions to yield loss in the
process stream.
• Addressing the move towards "lights out" human-less operation in the fab to meet goals such as contamination
levels.
• Methods to provide more comprehensive traceability of individual wafers to identify problems to specific process
areas
• Availability of published Standards for supply chain traceability of critical components and parts spares, e.g., for
better understanding of lifetime, suitability, or robustness of them
• Standards and best practices to support providing degradation characteristics of components from suppliers for
improved tracking and predicting of failures
• Comprehensive management that allows for automated sharing and re-usages of complex engineering knowledge
and contents such as process recipes, APC algorithms, FD and C criteria, equipment engineering best known methods
• EOS is suspected of causing latent yield issues (traditional countermeasures against ESD could worsen the EOS).
To quantify EOS contribution, research is needed.
• Addressing the potential data explosion and other big data issues associated with crossing a technology boundary

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Technology Requirements 11

Difficult Challenges Description


through 2028
4. Cross leveraging factory • Ensuring the advantage of the technology change to implement the appropriate factory integration enhancements
integration technologies such as control system paradigm shift.
across boundaries such as • Understanding the software roadmap for moving across these technology boundaries.
300 mm and 450 mm to 450 mm era: Effecting architectural and other changes as necessary at an affordable cost to maintain or improve
achieve economy of scale wafer-throughput-to-footprint levels in migration to 450 mm
5. Addressing the • Achieving a state of integration of analytics and knowledge network integration to the point where no knowledge is
migration to smart left behind
manufacturing
• Achieving compatibility of existing systems that are largely reactive with emerging predictive paradigms of
operation, such as Predict Maintenance and yield prediction
• Achieving a state of prediction in facilities where 1) yield and throughput prediction is an integral part of factory
operation optimization; and 2) real-time simulation of all fab operations occurs as an extension of existing system with
dynamic updating of simulation models.
• Full integration of facilities with a digital twin network for prediction of all performance metrics.
• Integration of production with up and downstream supply chain to support optimization of production with respect
to final customer experience.
• IIoT infrastructures including cloud-based solutions that are optimized fully to performance metrics (and not
hindered by limitations in infrastructure, security, etc., that imping on the optimization of the infrastructure).
6. Potential legislation on • Smart Manufacturing systems, process control, autonomous robotics and employment-related systems expected to
AI and ML be classified as ‘high risk’ and may fall under regulation.

• Single global framework for AI regulations has not been established resulting in proliferation of regulations unique
to local regulations.
- For example, European Union is proposing regulation of AI systems, implementation circa 2023.
- The United States is looking into federal regulations on AI and legislation may be forthcoming.
- For example, Peoples Republic of China is known to have regulations to restrict application of AI technology
7. Sustainability of • Maintain the supply chain in the face of disruptive event such as Covid-19 pandemic
upstream and downstream • Maintain production in the face of supply chain disruptions
supply chain

Difficult Challenges Summary of Issues


Beyond 2029
1. Meeting the • Evaluating and implementing revolutionary disruptive technologies such as distributed autonomous control at
flexibility, extendibility, the appropriate time to maximize cost competitiveness
and scalability needs of a • Determining the appropriate time to move to 450mm for all high volume commodity production
cost-effective, leading- • Consider the possibility of self-evolving and self-configuring FI technologies such as data analysis and
edge factory prediction where software (re-)configuration tasks are greatly reduced
• Adoption of augmented reality capabilities for enhanced human machine interaction
• Adoption and adaptation of newer data security technologies (e.g. quantum cryptography, blockchain) to
facilitate information exchange in the supply chain and support traceability for products (e.g., identities of equipment
used for production, production conditions, lot's test data).
• Cost and task sharing scheme on industry standardization activity for industry infrastructure development
• Achieving the "prediction vision" of a state of fab operations where (1) yield and throughput prediction is an
integral part of factory operation optimization, and (2) real-time simulation of all fab operations occurs as an
extension of existing system with dynamic updating of simulation models.
2. Increasing global • Addressing the move towards global regulations
restrictions on • Developing methods for increasing material reclamation
environmental issues • Proactively addressing future material shortages, such as non-renewable chemicals
3. Post-conventional • Uncertainty of novel device types replacing conventional CMOS and the impact of their manufacturing
Semiconductor requirements on factory design
manufacturing uncertainty • Timing uncertainty to identify new devices, create process technologies, and design factories in time for a low
risk industry transition
• Potential difficulty in maintaining an equivalent 0.7 transistor shrink per year for given die size and cost
efficiency

5. TECHNOLOGY REQUIREMENTS
5.1. SUMMARY
The evaluation of the technology requirements and identification of potential solutions were performed to achieve the
primary goals listed above by breaking up the discussion into the integrated and complementary functional areas as
explained earlier.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
12 Technology Requirements

Table FAC4 provides a summary of key focus areas and issues for each of the factory integration functional areas beyond
2020. It also includes a discussion of synergistic issues with ESH/S and Yield IFTs as well as issues that may be the topic
of future focus areas.
Table FAC4 Key Focus Areas and Issues for FI Functional Areas Beyond 2020
Functional Area Key technology focus and issues
Factory Operations (FO) 1) Systematic productivity improvement methodology of the current “lot-based” manufacturing method prior to
450mm insertion
2.) Challenges in moving to smaller lot and single wafer aspects of factory operations
3) Interdisciplinary factory productivity improvement method such as systematic factory waste visualization of
manufacturing cycle times and factory output opportunity losses
4) Extendable and reconfigurable factory service structure
Production Equipment 1) 450 mm production tool development
(PE)
2) for integration into the factory information system; supporting bridge capabilities to 450 mm
3) Determining context data set for equipment visibility
4) Equipment health monitoring (EHM) and fingerprinting to support improved uptime.
5) Run rate (throughput) improvement and reduction of equipment output waste that comes from NPW and other
operations
6) Improving equipment data quality and data accessibility to support capabilities such as APC and e-Diagnostics
7) Develop equipment capabilities to support the move to a predictive mode of operation (including virtual
metrology, predictive maintenance, predictive scheduling and yield prediction and feedback); examples include
reporting equipment state information, time synchronization, and equipment health monitoring (EHM) and reporting.
8) Migrate to a mode of operation where APC is mandatory for proper execution of process critical steps
9) Design, Develop and implement (standardized where appropriate) capabilities for utility (e.g., electricity)
reduction such as support for idle mode, improved scheduling, and communication between host and equipment for
energy savings
Automated Material 1) Reduction in average delivery times,
Handling Systems 2) Avoid tool starvation
(AMHS) 3) More interactive control with FICS and PE for accurate scheduled delivery, including (predictive)
scheduling/dispatch, maintenance management, and APC
4) Aim for continuous improvement in reliability and corresponding minimization of downtime
5) 450 mm specific AMHS issues
6) AMHS interaction with other wafer transport and storage systems such as sorter and load port
Factory Information and 1) Increased reliability of FICS systems such as maintenance management
Control Systems (FICS) 2) Increased FICS performance for more complex factory operation, such as decision speed and accommodating
larger data sets
3) Enhanced system extendibility including extensibility across fabs
4) Utilize FICS information to achieve waste-reduction (e.g., wait-time waste, unscheduled downtime, and wafer
scrap) and sustainability (e.g., resource conservation)
5) Facilitate enhancement of reactive with predictive approach to operations (e.g., planning and scheduling,
maintenance, virtual metrology and yield prediction and feedback)
6) Determining approaches to control (e.g., distributed versus centralized) and when to institute disruptive control
systems changes (e.g., at 450 mm introduction)
7) Achieving minimum downtime, seamless transition, and uninterrupted operations in production throughout the
software upgrade process
Facilities 1) Continuous improvement to maintain facility systems viability
2) Minimization of facilities induced production impacts
3) Facility cost reduction
4) Determining and addressing emerging technology requirements such as AMC (Airborne Molecular
Contamination) control, 450mm, 3D, etc.
5) Maintaining safety in facilities operations (e.g., in response to a seismic event)
6) Even more aggressive focus on environmental issues and optimization to environmental targets.
7) Facility utility reduction
Information Security 1) The roadmap continues defining the current challenges and potential solutions for information security including
secure data partitioning and IP separation. The roadmap begins to define technology requirements. Initial focus on
itemizing technology requirements.
Environmental Safety and 1) The roadmapping process will continue to quantify factory environmental factors
Health (ESH) 2) Roadmapping from 2015 will include new materials, sustainability and green chemistry
3) Provide proactive engagement with stakeholder partners and reset strategic focus on the roadmap goals.
4) Continue focus on factory, and supply chain safety for employees and the environment

Yield Enhancement (YE) 1)The road mapping focus will move from a technology orientation to a product/application orientation.
2) Airborne molecular contamination (AMC), packaging, liquid chemicals and ultra-pure water were identified as
main focus topics for the next period.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Technology Requirements 13

Functional Area Key technology focus and issues


3) Electrical characterization methods, Big Data and modeling will become more and more important for yield
learning and yield prediction.
1)The roadmap will continue to provide increased focus on smart manufacturing tenets providing narrative,
technical requirements and potential solutions for each tenet. These tenets (listed below) are big data, augmenting
Smart Manufacturing
reactive with predictive, advanced analytics and applications, digital twin, IIoT and the cloud, integrated supply chain,
and reliance on a knowledge network.
1) Optimization of data storage volumes and data access to achieve FI objectives and enable applications to plug
Big Data (BD)
and play
2) Speed improvement in collecting, transferring, storing and analyzing data
3) Software optimization to gather data from multiple systems and sources for analysis resulting on actionable
decisions
4) Data quality improvements to address issues of time synchronization, accurate compression / decompression, and
merging of data form multiple sources collected at potentially varying data rates
5) Migrating from relational data storage infrastructure to largely big data friendly infrastructure such as Hadoop,
along with small relational component.
6) Algorithm development and implementation to support emerging capabilities such as predictive and machine
learning
Augmenting Reactive 1) Improved data quality to support effective prediction
with Predictive and
Prescriptive (ARPP)
2) Prediction solutions tied to application financials for optimized benefit
3) Integration of predictive functions (data, algorithm, user interface, and cross-leveraging capabilities) as an
augmentation of existing systems
4) Move to real-time simulation of all fab operations occurring as an extension of existing system with dynamic
updating of simulation models
Advanced Analytics and 1) Defining analytics for the enhancement of existing applications as well as for the realizing of new applications.
Applications (AAA)
2) Understanding the impact on an opportunities for analytics and applications in big data environments.
Digital Twin (DT) 1) provide a vision for a DT framework of DT classes existing at all levels of the ISA-95 infrastructure
2) Outlining technical requirements for DT classes of run-to-run (R2R) control, Predictive Maintenance (PdM) and
Virtual Metrology (VM) that leverage existing capabilities.
3) Addressing evolutionary aspects of control system and control system architectures such as granularity, speed,
quality, and capability.
4) Addressing potentially revolutionary aspects of control systems and control systems architectures such possible
moves to cloud computing, distributed/autonomous control, and artificial intelligence enhanced control
5) Addressing the framework to integrate monitoring and closed loop control tied to all semiconductor
manufacturing key performance indices – including engineering and manufacturing control levels.
Industrial Internet of 1) Defining IIoT and cloud drivers and the distribution of intelligence between the cloud and edge devices.
Things (IIoT) and the
Cloud
2) Understanding the impact of issues such as performance, data sharing and security on cloud support decision-
making
Integrated Supply Chain 1) Addressing the capability to integrate with supply-chain framework for value chain control.
(ISC)
2) Exploring issues such as latency, confidentiality and data integrity and their impact on solutions that use and
integrated supply chain.
Reliance on a 1) Emphasizing the importance of SME throughout project workflows and across SM tenets.
Knowledge Network (KN)
2) Defining mechanisms for incorporating subject-matter-expertise (SME) into to analytics solutions with a goal of
"no knowledge left behind"

5.1.1. STABILIZED FACTORY INTEGRATION METRICS AND RECOMMENDED VALUES


As FI challenges become addressed and solutions are continually being optimized, it is often important to capture the
challenge stabilization metric and provide an indication of the value. As a result, the FI IFT reviewed the technology
requirements tables and captured these stabilized metrics in education Table FAC5, delineated by focus area. As technical
challenges in focus areas are addressed and reach a state of continuous optimization, they may be removed from their
respective challenge tables and moved to this education table.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
14 Technology Requirements

Table FAC5 Stabilized FI Metrics with Recommended Values (Critical but Educational Values)
Functionality Metric/ Recommended Year of Justification
Area Requirement value/level Stabilization

Factory N/A
Operations (FO)
Production Maximum 0 2017 No amount of electric field
Equipment (PE) recommended exposure can be regarded as safe for a
electrostatic field at chrome reticle. The recommended
chrome mask surfaces field value should reduce the risk of
(V/m) for EFM degradation to an acceptable level
over the normal production lifetime of
a reticle.
Automated Time required to 5 2014 The value is important to keep
Material Handling integrate process disruption to production minimum, but
Systems (AMHS) tools to AMHS it does not need a roadmap as it
(minutes per LP) (300 already reaches practical limit with
mm) currently adopted interface between
PE and AMHS
Factory Wafer-level YES 2015 This metric indicates FICS
Information & (within-lot) recipe / capability to facilitate wafer-to-wafer
Control Systems parameter adjustment, recipe and parameter adjustment and
(FICS) e.g., for W2W control supports the ability to have multiple
lots per carrier, which have been
widely realized.
Facilities Facility cleanliness Class 6 2013 Wide adoption of minienvironment
level (ISO 14644-1) for the critical areas/systems eased
Facility cleanliness level requirement

Design Criteria for 6.25 circa 2011 Observing the value is critical for
Facility critical (VC D) area of the primary manufacturing
vibration areas floor in which a significant portion of
(lithography, the equipment is highly sensitive to
metrology, other) floor vibration. But required measures
(mm/sec) are known and have been
implemented.
Design Criteria for 50 circa 2011 The metric is for the area where all
Facility non-critical (VC A) or some of the equipment is only
vibration areas moderately vibration sensitive. No
(mm/sec) need for special consideration to
realize the value.
Security N/A Metrics for security will be
provided in future versions of the
roadmap.

Smart N/A Metrics for SM tenets will be


Manufacturing provided in future versions of the
(SM) roadmap.

5.2. FACTORY OPERATIONS NEEDS


5.2.1. SYSTEMATIC FAB PRODUCTIVITY IMPROVEMENT
One of the most important missions of FI is to assist fab productivity improvement effort by providing productivity
information to those who are responsible at each of the hierarchical operation responsibility layers and providing means to
evaluate the improvement before and after implementation. There should be methodologies to identify the room for
improvement as a Continuous Improvement Program (CIP) and the planning of strategic improvement. For these

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Technology Requirements 15

methodologies to be effective the factory activity information is to be designed to have rationalized structures to facilitate
high data utilization for decision making. It is also imperative to define commonly usable productivity metrics so that the
productivity improvement activities can cooperate among many. The FI IFT has concluded that such metrics are expressed
as productivity waste.
5.2.2. AGILITY AND FLEXIBILITY IN FACTORY SERVICES
Factory services are numerous but are required to change in a short period of time to accommodate various business
demands. The process control methods change as a new process generation is introduced. Process recipes are changed as a
new product or technology is introduced. The line capacity is re-optimized upon a new product introduction. Fab capacity
control and corresponding decision makings need to be agile and flexible. Decision making support capabilities such as
predictive visualization of cycle time, work in progress (WIP) and line throughput are becoming more important.
5.2.3. HIGH GRANULARITY AND PROACTIVE SERVICES
Finer material handling operation is required due to strong demand on cycle time reduction. More real-time control of PE
is required to meet elaborated process control requirements such as wafer-to-wafer and within wafer APC. Frequent
confirmation of production equipment healthiness using capabilities such as EHM is required to reduce the potential of
wafer scrap. Finer wafer-level product quality traceability is required while lot-based manufacturing is employed. All of
these trends are associated with a general trend of finer and more proactive (predictive) process and quality control.
5.2.4. HIERARCHICAL OPERATION STRUCTURE AND MANUFACTURING CONTROL OPERATION
Hierarchical structure in the manufacturing control operation is required to provide a counter-measure to the increased
complexity in manufacturing decision makings and fast control execution. FO structure needs to be designed to enable the
comprehensive optimization of FO for the required productivity. A good example is the hierarchical quality assurance in
which the wafer fabrication execution control and process outcome control are hierarchically delineated with aid of
increased visibility of the individual hierarchical layers.
The manufacturing control paradigm may change over time as capabilities such as cloud computing, application-based
integration and control (“apps”), and autonomous and semi-autonomous control are explored and evaluated for various FO
applications. Trends will be more closely aligned with other manufacturing arenas (than in the past) in order to leverage
technology innovation and economy of scale. At this time, a roadmap for the evolution and paradigm shift of manufacturing
control cannot be fully realized because directions are not yet clear. These concepts are explored further in the Smart
Manufacturing subchapter.
5.2.5. INTEGRATION OF FACILITIES REQUIREMENTS INTO FACTORY OPERATIONS
The increasing pressure of achieving goals such as environmentally benign and safe operation of fabs as well as utility cost
reduction will require that factory and facilities operations be coordinated. This will require increased attention to facility
objectives in factory objective functions. See also the Facilities section.
5.2.6. SIGNIFICANT PRODUCTIVITY IMPROVEMENT
A focus of the FO Technology Requirements Table FAC6 is challenges associated with significant productivity
improvement of the current technology preceding the 450 mm insertion.
This waste reduction is to meet 30% 300 mm wafer cost reduction and 50% cycle time reduction. The implementation of
such significant improvement will be somewhat delayed due to the current economic situation and the speed of development
and adoption of standards for wait-time-waste and related metrics.
Equipment variation reduction will be a source of productivity improvement. In the future this may be quantified in table
entries in this section as metrics are agreed upon for the quantification of this source of improvement.
5.2.7. FUTURE MANUFACTURING REQUIREMENTS
The industry can focus on common technology development for 300 mm and 450 mm. 450 mm factories would benefit by
adaption of improved technology validated for 300 mm. FO metrics were reviewed and modified to reflect the future
manufacturing, including 450 mm needs. Industry should study the implication of the FO Technology Requirements Table
FAC6 and other FI technology requirements tables.
5.2.8. WASTE REDUCTION METRICS
Equipment Output Waste (EOW) is in the FO Technology Requirements Table FAC6 with intent of aligning the significant
productivity improvement scheme. It is beyond the FI’s task to capture all of the waste types in the roadmap. It is important
to introduce more comprehensive waste metrics for FI so as to address the direction of overall productivity optimization of

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
16 Technology Requirements

highly complicated manufacturing system. These need to be comprehensive and measurable factory-level waste metrics.
Addressing the issue of waste reduction metrics will promote new manufacturing concepts, manufacturing control models,
and algorithms.
It is also the FI IFTs mission to induce the environment where the industry can collaboratively address the waste
visualization and reduction needs. Metrics definition and measurement method standardization are good examples of these
efforts.
5.2.9. DATA USAGES
The stringent engineering requirement is driving need for more data that would result in so-called data explosion. This is
explored in detail in the FI “Big Data Needs” section of the Smart Manufacturing subchapter. It is critical not only to collect
necessary data but also to develop intelligent analysis and algorithms to identify and use the right signals to make data
driven decisions and reuse such intelligence as models in later occasions. The factory data shall be designed in accordance
with these models with usages for high data utilization efficiencies.
5.2.10. 450 MM RELATED METRICS
450 mm specific requirement has been discussed in order to seek any FO Technology Requirements Table items. Although
the factory services requirements specific to 450 mm manufacturing have not been identified in the current roadmap,
300 mm factory services are expected to be applicable to 450 mm and so do most of the FO requirements captured. There
may be some different requirements in 450 mm for the FO. The distinct example is cycle time requirement. The longer
factory cycle time requirements are expected since the scanning and beam production equipment such as lithography
exposure tools and inspection tools inevitably have longer cycle times compared to the similar 300 mm tools (since the
process time is proportional to the area of treatment).
Readers are encouraged to read the FO Technology Requirements Table FAC6 with wafer size dependency in mind, but
should not read all the same fab operation characteristic values as 300 mm being required for 450 mm. From the waste
reduction view point, there should be much similarity between 300 mm and 450 mm requirements, but more study is needed
for WTW as discussed earlier. As 450 mm factory services requirements and physical ones become available IRDS FI will
capture 450 mm specific items into respective FI technology requirements tables.
5.2.11. OPERATIONAL PARADIGMS RELATED TO LOT SIZE
Production goals that include flexibility, cycle time reduction and demand optimization in high-mix environments have led
to the consideration of a number of operational paradigms that facilitate these goals. The paradigms include:
• Single wafer processing—which is defined in this chapter as processing one wafer at a time in an equipment
chamber. Wafer transport is not specified (and may be wafer-based or lot-based). Single wafer processing is
prevalent in many processes today and allows for increased flexibility in scheduling to demand as well as improved
effectiveness of FI capabilities such as process control and fault detection.
• Multi-product mixed-lots processing—is defined in this chapter as a type of single wafer processing where wafer
transport is multi-wafer lot-based, however multiple products can exist within the lot. The total number of wafers
in the lot is not fixed and can be less than 25 or variable. The impact is optimal AMHS capacity and decreased
cycle time, especially in high-mix environments that include low running products (i.e., having a relatively small
percentage of the overall product mix) along with high running products (i.e., having a relatively large percentage
of the overall product mix). Multi-product mixed-lots processing is relatively rare in current microelectronics
manufacturing practice but should become more prevalent over time as the need for flexibility increases and FI
systems become better equipped to manage this processing paradigm.
• Single wafer manufacturing—is defined in this chapter to mean a lot size equal to one wafer throughout the fab.
Thus, both single wafer processing and single wafer transport are employed.
The paradigm shift to single wafer manufacturing is not occurring as soon as originally expected, and it is unknown if this
paradigm shift will ever take place on a large scale. FO roadmaps and FI roadmaps in general must address the
challenges and potential solutions associated with the operational paradigms that are adopted.
5.2.12. ASSEMBLY TEST INTEGRATION
As the industry moves forward in microelectronics manufacturing there is an increased focus on integration in and with
backend processes, with the goal of improving final product performance. As a result, there are increased opportunities for
product improvement coming from potential solutions in assembly and test operation and integration with each other and

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Technology Requirements 17

front-end operations. In the past microelectronics manufacturing pursued advanced manufacturing methods to support
advanced FE process technology (e.g., e-Manufacturing SEMATECH initiatives in 2003), which have been defined and
implemented providing performance improvement in areas such as FICS, AMHS and equipment engineering control.
However, FE e-Manufacturing leverages a number of standards that requires a high cost to implement. Recent developments
such as Industry 4.0/Smart Manufacturing (see Smart Manufacturing Needs section) cite technologies including IIoT, big
data, and advanced analytics as enablers for new capabilities to support back-end (BE) manufacturing. These capabilities
would support potential solutions that address issues such as huge deviation in product, production and equipment in BE
areas to achieve manufacturing excellence in cost-effective way. Examples include leveraging IIoT to enable data collection
for all objects in factory and using big data capabilities to enable advanced manufacturing intelligence and prediction
capabilities. Smart manufacturing concepts will enable improved assembly and test with e-Manufacturing performance with
lower cost. FI will identify the potential opportunities from emerging technologies such as those cited in Smart
Manufacturing to enable advanced e-Manufacturing in assembly and test for advanced manufacturing excellence. So, the
focus on providing potential solutions in assembly and test in the future will be 1) increased focus on assembly test to
support new devices which rely more heavily on BE excellence, 2) leverage new concepts such as those cited in Smart
Manufacturing in formulating BE potential solutions, and 3) leveraging potential solutions already identified for front-end
(FE) into and with the BE.

Table FAC6 Factory Operations Technology Requirements

5.3. PRODUCTION EQUIPMENT NEEDS


5.3.1. SCOPE
The original scope of the production equipment section includes all factory integration requirements relevant to the process
and metrology equipment. Also included are tool embedded controllers, front-end module (EFEM) and load ports, carrier,
and wafer handling, software and firmware interfaces to host systems, and all facilities interfaces of the equipment. The
most of PE and factory interfaces have been standardized as the result of 300 mm transition standardization. Further the
factory operation driven metrics have been moved to the FO Technology Requirements Table FAC6 for clarity. The PE
Technology Requirements Table FAC8 has metrics only on availability for process tools and metrology tools together with
electrostatic field requirements.
5.3.2. DATA VISIBILITY (INTO AND OUT OF THE EQUIPMENT)
An important aspect of PE and specification of requirements in this document is visibility “into” the equipment and visibility
from the equipment to the outside world. In order to achieve the potential solutions described here the equipment will have
to provide visibility of information such as state and health through standardized communication interfaces. Requirements
for this visibility will increase in the future. Similarly, equipment will have to have access to information outside the
traditional domain to achieve capabilities. This visibility includes upward (e.g., into the factory systems) as well as
downward (e.g., into tool components). An example of upward visibility would be predictive scheduling where the
equipment would need to know upstream WIP and possibly processing times to provide an optimum schedule and dispatch
as part of a fab-wide throughput optimization strategy. An example of downward visibility would be coordination of pump
states to support an equipment move to an “idle” mode (described later in this chapter) to save on power resources without
sacrificing throughput. It is an important PE requirement that equipment properties such as health and process capability
be validated with data; this validation process represents a method by which users and equipment suppliers can
communicate issues such as tool readiness and capability. The data that represents the visibility into and out of the
equipment will also be used to validate equipment; this validation will be performed by an equipment supplier prior to
delivery with respect to equipment functionality.
Tool data visibility must address the following important use case. To achieve good device yields, the process tools used to
create the device must be in statistical control. That is, key process settings must be in control during a run as deviations
will impact the final product yield. To help integrated device manufacturers (IDMs) accomplish this parameter control, tool
manufacturers should provide a reference set of parameters and values for a properly operating tool. The tool manufacturers
can then test that tools perform to these values prior to shipment and IDMs can then check basic tool health by monitoring
these parameters over time. If there is a performance discrepancy, the IDM and tool manufacturer can use the reference
parameter values compared to target values as a starting point for problem diagnosis.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
18 Technology Requirements

5.3.3. WASTE REDUCTION


Waste reduction is a combination of efforts aimed at reducing waste in a number of areas including wait-time (cycle time),
operation waste, wafer scrap, consumable use, downtime, and energy and natural resource consumption. While technologies
such as APC (Fault Detection and R2R control) are currently important to improving waste reduction metrics, predictive
solutions such as virtual metrology, PdM, and predictive scheduling will also be key technologies for the reduction of waste
moving forward, addressing such issues as wait-time waste, unscheduled downtime, and wafer scrap. Further equipment
energy saving solutions such as coordinated “idle” mode will address energy waste issues.
The industry’s growth rate will not be sustainable in the future if increasing capitalization cost trends continue without
significant improvement in productivity. The PE Technology Requirements Table FAC8 is also responsible to the intended
significant productivity improvement preceding 450 mm insertion. Although the FO Technology Requirements Table
FAC6 owns the equipment output cycle time waste (EOW) requirement EOW metrics may be broken down to EOW for
the PE section to address waste reduction. The waste due to NPW operations and the frequent recipe changes can
significantly increase EOW especially in high product mix operations. The information of NPW operations needs to be
made visible.
5.3.4. PRODUCTIVITY REQUIREMENTS
The requirement for high degree of wafer traceability implementation exists. This includes the process path, process
parameters, and preceding operations. The move from 300 mm to 450 mm in PE should have no negative impact on any
facet of equipment productivity. Factories will have to move to full wafer-level control to support productivity requirements.
The process control in the equipment is controlled by event-driven method. Information that determines what event should
be triggered includes internal equipment context data. Time stamping information is another source of context data that is
needed to identify the happenings in the PE because high accuracy time stamping is required by the factory system; the
factory system provides an accurate time synchronization capability across the factory. The equipment activity data should
be provided together with driver events such as “Task ID” since equipment internal control is usually associated with such
driver events to show the context of equipment internal events.
Sustaining productivity improvements will necessitate the tighter coupling of software capabilities, such as APC,
maintenance, and scheduling/dispatch, with the PE. As such, some of these capabilities, such as APC, may be designed into
the equipment (e.g., to facilitate more elaborate and faster or adaptive control implementation), or the equipment may be
designed to require functionality with external APC systems. Further, PE will be required to produce the necessary data in
a timely fashion and accept the appropriate actuation to enable the tight coupling with these software systems. These
requirements will become even stronger as the industry moves towards a predictive (rather than reactive) mode of operation.
Such predictive and self-running PE are the prerequisites for a single-wafer manufacturing system where very high degree
of control synchronization for tools and factory and/or for tool to tool level is indispensably needed.
As environmental sustainability issues continue to play a larger role in the design and operation of PE, PE will have to
implement and/or support environmentally aware solutions at the equipment and integrated-factory levels. “Support” could
mean providing embedded solutions or solution components or providing the necessary data and supporting the necessary
actuation capability for participation in fab-wide solutions. Examples of these solutions include support for “idle” mode of
operations, integration with facilities management systems, and providing necessary data to support waste reduction
capabilities such as PdM.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Technology Requirements 19

Table FAC7 Context Data Importance for Good Equipment Visibility


Data Usages Data Usage “Key” Information
Equipment activity context Time stamp for host observation
R2R control FDC, FICS data usages ◼ Tool name Inter factory-level
◼ Chamber index / STS (Factory wide)
◼ Processing index
◼ Recipe ID
◼ Recipe Step Number
◼ Product ID
◼ Wafer ID

Tool-to-facility combination activity ◼ Tool name Inter-Tool-level


◼ Chamber index / STS External sensors need their own time stamps
◼ Eq status (e.g., maintenance state)
◼ Processing index
◼ ID to indicate interactive control events

Additional sensor data utilization


Within-tool activity data utilization ◼ Task ID Intra-Tool-level
◼ Processing index / Wafer locations +/- equipment heart beat frequency
◼ Internal control events

5.3.5. ENERGY SAVINGS AND FACTORY ENVIRONMENT


In order to minimize consumption rate of energy and other utilities of production equipment when it is not needed to perform
its intended function (i.e., processing wafers), production equipment needs to have ‘Smart’ energy-saving modes
capabilities, which enable automatic energy and other utilities shutoff or reduction control while maintaining quick startup
for returning to production readiness, with the goal of no added productivity penalties at equipment re-start. The potential
savings depend on the scenario, with greater potential savings during fab start-up/ramp and research and development
(R&D) environments compared to high-volume manufacturing (HVM) because it is likely that the wafer processing tools
are to spend more time in a non-wafer-processing state. Even at HVM, not all equipment types are utilized to their full
capacity due to bottleneck and other reasons, thus ‘Smart’ energy-saving modes are expected to be effective is such cases.
Realizing these ‘Smart’ energy-saving modes requires coordination between fab host and production equipment as well as
between production equipment and sub-fab supporting equipment as shown in Figure FAC3. Standardization of
communication protocols is being pursued in both of these areas with the fab host to production equipment communication
standard completed (SEMI E167). This standard specifies methods for communicating between fab host and equipment:
the expected timing and length of period in which the production equipment (and in turn supporting sub-fab equipment) is
not utilized, the expected timing and length of period in which the production equipment (and in turn supporting sub-fab
equipment) takes to return to normal operation, and to report transition between normal operation modes and energy-saving
modes become necessary. A SEMI Standards Task Force is working on specifying standardized communication between
production equipment and sub-fab supporting equipment to realize energy savings.
Energy saving is also achieved through energy-efficient equipment designs, which are achieved through the use of higher
efficiency power distribution systems within the tool, more efficient tool-heat-load removal methods, and optimized
recycling and reuse of water.
An additional emerging focus area requiring innovative solutions is the preventive control of AMC. Lastly, efficient and
cost-effective equipment development will be a critical milestone in the industry transition to the next wafer processing
size.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
20 Technology Requirements

Figure FAC3 Phased Scope of SEMI Standards Work to Support PE Energy Savings
5.3.6. DATA INTEGRATION IN PRODUCTION EQUIPMENT
As diagnostics and control of equipment processing becomes more critical in terms of 1) process targets, 2) frequency and
type of control actions, and 3) equipment and process health, and as newer technologies such as predictive maintenance
begin to play a more important role in optimization of equipment productivity, data from equipment components and sub-
systems will play an increasing role in the operation of these control solutions. As an example, vibration data from pumps
can be used to estimate pump remaining useful life (RUL), but also can be an important contributor to process diagnostics.
As such it is important that the data from the components and sub-systems be made available to higher level equipment and
process diagnostics systems so that a holistic approach to equipment process diagnostics and control can be achieved.
5.3.7. PREDICTION CAPABILITIES IN PRODUCTION EQUIPMENT
Future equipment capabilities will include predictive capabilities as described in the Augmenting Reactive with Predictive
and Prescriptive (ARPP) section of the Smart Manufacturing subchapter in this chapter. Equipment will benefit from
capabilities such as excursion prediction to avoid misprocessing, scrap, and potential equipment damage. Scheduling
prediction will result in increased capacity and reduce waste. Virtual metrology could be leveraged for improved process
control and reduced cycle time. While the predictive scope will be fab-wide and even enterprise-wide, and much of the
predictive capabilities will exist outside of the equipment, the equipment will play an important role in providing predictive
capabilities. First and foremost, it will provide crucial data required for the development, execution, and maintenance of
prediction models. Data must be provided of sufficient quality (e.g., accuracy, freshness, speed) to support these prediction
models and thus requirements will be equipment and data producers. Equipment will also provide some predictive
capabilities directly. This is because equipment has access to information not always available outside of the equipment or
at the data rates that can be found inside of the equipment. Equipment suppliers may have specialized algorithms for
prediction. Inside equipment predictions or prediction information as available must be coordinated with outside equipment
prediction capabilities that have access to a much larger pool of data (types, archival length, process capabilities, etc.) and
can more readily support big data concepts often required to develop and maintain prediction models.
New specifications and standards on aspects of equipment prediction will be developed. As an example, SEMI E171
addressed “Specification for Predictive Carrier Logistics (PCL)”; the purpose of the standard is “…to provide a
communication scheme for exchanges of carrier logistics related information, especially predictive information, between
equipment and the factory system in order to support seamless cascading of carriers for continuous processing of equipment
in microelectronics fabrication systems or similar ones”.
Further detail on inside-equipment prediction systems and their role in the prediction vision can be found in the Augmenting
Reactive with Predictive and Prescriptive and Big Data sub-sections of the Smart Manufacturing subchapter in this chapter.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Technology Requirements 21

Table FAC8 Production Equipment Technology Requirements

5.4. MATERIAL HANDLING SYSTEMS NEEDS


5.4.1. OVERALL
Ergonomic and safety issues coupled with the need for efficient and rapid material transport are the major drivers in defining
material handling systems for the 300 mm wafer generation and beyond. AMHS must have acceptable return on investment
(ROI) and must interface directly with all inline (i.e., used in normal process flow) production and metrology equipment.
AMHS must deliver material in a timely fashion to support critical equipment in order to minimize wait time waste.
Furthermore, the material handling system needs to be designed so that it can accommodate the extendibility, flexibility,
and scalability demands on the factory with minimum down time.
The AMHS Technology Requirements Table FAC9 is based on the premise that as demands on the material handling system
continue to increase while supporting fab operations with decreased down time and reduced lot wait-time waste on
bottleneck equipment. To achieve the requirements, AMHS may be composed of interoperable sub-systems from multiple
(best of breed) suppliers.
Solutions to provide better utilization of floor space through optimization of tools layout of the factory, integration of
process and metrology equipment, etc. must be developed. It is also necessary to investigate the potential impact of
increasingly larger factory sizes that require AMHS transport between multiple buildings and floors.
For efficient production, there will be a need to integrate WIP scheduling and dispatching systems with storage and transport
systems for the goal of reducing wait time waste (WTW). This is especially true as scheduling and dispatching systems
become predictive. For example, correctly predicting/scheduling pending and completed jobs on tools enables the
prepositioning of carries and transport close to tools when jobs on tools are finished.
The potential impact of high-mix operations and smaller lot sizes must be investigated. The tradeoff between lot size and
MPH increase also needs to be evaluated. The adoption of automated reticle transport systems by IC makers will depend
on the business model for the factory. Potential solutions for reticle transport systems must not negatively impact the
lithography equipment's footprint, run rate, and ease of installation or de-installation.
5.4.2. 450 MM
Investigation and evaluation of the 450 mm physical interface and carriers (PIC) had been concluded by the development
of relevant SEMI Standards Suite. The AMHS design may have to be revisited along with investigation into the wafer
transport/storage (near tool) capabilities (i.e., EFEM, shared EFEM, on-tool storage). Other items that will impact AMHS
design will be the 450 mm factory size, factory layout, AMC needs and factory throughput and cycle time requirements.

Table FAC9 Material Handling Systems Technology Requirements

5.5. FACTORY INFORMATION AND CONTROL SYSTEMS NEEDS


5.5.1. SCOPE
The scope of FICS includes computer hardware and software, manufacturing execution, decision support systems, factory
scheduling, control of equipment and material handling systems, and process control. FICS serves as an essential
infrastructure and technology enabler to a number of critical functional areas addressed by the IRDS—including yield,
factory operations, production equipment, and material handling control and management.
5.5.2. IMPROVE FACTORY EFFECTIVENESS
Factories must be able to adjust schedules and dispatching schemes rapidly to quickly respond to unexpected equipment
downs or product scrap to maximize productivity and maintain target production rates and production times of high priority
(hot) lots as well as the production lots. This calls for optimization and prediction models that include predicting impacts
of operational or configuration changes to other FICS applications. The objective is to make the best choice of what to
process looking beyond the boundaries of a single tool or cluster tool. With a global view of factory activity, the scheduling
component can make decisions beyond a small area in the factory. The effect will be greater factory utilization, higher

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
22 Technology Requirements

throughput, and reduced cycle time variability. Integration of FICS applications with business-level software systems
provides accurate factory floor data for supply management, and improved product tracking. Potential solutions will require
the standardization of technologies (e.g., Simple Object Access Protocol, Service Oriented Architecture Protocol (SOAP)
and Extensible Markup Language (XML) and web services) that enable this level of integration.
5.5.3. IMPROVE FACTORY YIELD AND MINIMIZE WASTE
Yield improvement and waste minimization will rely heavily on FICS solutions. Process control systems (PCS) which
utilize APC technologies including R2R control, fault detection (FD), fault classification (FC), fault prediction (FP) and
statistical process control (SPC) will become more pervasive and an integral part of FICS solutions. SEMI standard E133
should be leveraged for definitions, identifying capabilities and possible identifying interface requirements for PCS
solutions. SEMI standard E126 should be leveraged for specifying R2R control capabilities specific to a process type.
Highly integrated PCS solutions will enable yield and process capability improvement, while reducing cycle time, ramp-
up (re)qualification time, scheduled and unscheduled downtime, non-product wafers, scrap, and rework levels. R2R control
at the wafer and increasingly the sub-wafer level will utilize virtual metrology and efficiently adapt to product changes, and
maintenance events. Module and cross-module control solutions such as litho-to-etch CD control will become more
prominent and R2R control capabilities will be linked to fab-level parameter targets such as yield, throughput, and electrical
characteristics.
Fault detection systems will continue to trigger at recipe step boundaries but as equipment data sampling rates increase real-
time alarming will see greater utilization and also provide input for virtual metrology systems tied to R2R control. Fault
classification and fault prediction can reduce problem resolution time and the severity of process excursions, but widespread
use will evolve slowly due to technology and standards hurdles. Chamber variance tracking and reporting will become an
increasingly important tool for identifying yield and throughput issues, with APC assisted chamber variance control
eventually taking the place of variance reporting. SPC is a mature technology with its current use rate and domain space
continuing. Over the longer term, PCS solutions will leverage virtual metrology and other technologies to provide for real-
time yield prediction with feedback into FICS for improved scheduling/dispatch, process control, and maintenance
management that is better tied to productivity and waste objectives.
The FICS will provide collaborative integration between APC, manufacturing execution system (MES), equipment
performance tracking (EPT), factory scheduler/dispatcher, maintenance management, AMHS and supply chain elements.
This level of system integration is required to ensure delivery of the right material, lot, and wafers at the right time at the
right locations maximizing equipment utilization. It will be enabled by event-driven, reconfigurable supervisory control
capabilities at the heart of the FICS; common data warehouse and data models; adoption of Interface ‘B’ and associated
standards for application integration; proliferation of networks for control diagnostics, and safety signals across the fab and
supply chain elements (see also Section 5.8.8).
5.5.4. DATA UTILIZATION
Increasing levels of collaborative integration and exchange of data between key FICS system components, smaller lot sizes,
and tighter process windows will lead to increased message and data load that must be managed by the FICS. Production
equipment will be providing increased volumes of data: sensor data required for fault detection, advanced process control
data, and tool performance data; including critical equipment actuators such as mass flow, pressure, and temperature
controllers. The FICS must be scalable to accommodate increasing data rates and manage the collection, storage, and
retrieval of this increase in data collection. While distributed systems are not novel; FICS architectures will increasingly
distribute data and applications below the factory level. Distributed data and applications will decrease factory bandwidth
competition and enhance the FICS ability to filter through large quantities of data, to identify the specific set of information
required to make decisions for factory operation and business-level decisions. Additional information big data issues of this
type are discussed in the Big Data section of the Smart Manufacturing subchapter.
Achieving these FICS requirements will necessitate alignment to industry standards for data acquisition, data interchange,
and recipe management. This will include alignment with standards from verticals in the supply chain to support data
interchange for integrated supply chain objectives as described in Section 5.8.8. Specific tool, supplier, or manufacturing-
defined proprietary interfaces will increase implementation time and cost to both the IC manufacturer and the FICS supplier.
Time to develop these new standards must be decreased, through collaboration between IC makers, equipment suppliers,
and FICS suppliers. Ultimately the standards-compliant applications will reduce time and cost of integration, allowing IC
makers and suppliers to focus on improved capabilities rather than customized integration. This will decrease the risk of
new applications integration into an existing factory system.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Technology Requirements 23

5.5.5. HIGHLY RELIABLE, HIGH-PERFORMANCE SYSTEMS


The increasing reliance of the factory on the FICS infrastructure will continue to drive increased factory system complexity.
There will be increased attention to maintaining the gains to overall factory system availability and to further decreasing
the occurrence of full fab downtime incidents caused by a failure of a single, mission critical application as shown in the
FICS Technology Requirements Table FAC10. Mission-critical FICS components, both software and hardware, must
provide fault tolerant solutions that eliminate unscheduled factory system failures as well as scheduled downtime to install
or upgrade. Potential solutions include software applications and databases that are capable of dynamic upgrades; software
applications that can monitor health of factory systems and that can induce load-balancing, and fault tolerant computer
systems with transparent hardware switching for failovers.
Cyber security continues to remain a high priority from the factory operations perspective. Cyber security guidelines were
first published by ISMI in March 2005 documenting available methods for cyber security. The security focus is also on
protecting IP within the equipment. Microelectronics equipment is now well integrated into the FICS infrastructure with
engineers and technicians. Ensuring IP protection is critical to overall financial success in an environment where there is a
significant amount of operations-level overlap. This topic is discussed further in Section 5.7.

Table FAC10 Factory Information and Control Systems Technology Requirements

5.6. FACILITIES NEEDS


5.6.1. SCOPE AND FACILITY MISSION
Facilities include the overall physical buildings, cleanroom and facility infrastructure systems, including tool hook up. The
IRDS Facilities scope does not include adjacent general office spaces and corporate functional areas. It is important to note
that the following requirements will affect the facility and support facility infrastructure system with respect to their
complexity and costs:
• production equipment
• manufacturing goals
• management philosophies
• environmental, safety, and health (ESH) goals
• building codes and standards
• defect-reduction and wafer cost reduction targets
• disruptive manufacturing technology migration
5.6.2. DEMAND ON FACILITIES SERVICES INCREASES
The industry continues to demand facilities that are increasingly flexible, environmentally benign, extendable and reliable,
services that come online more quickly, and are more cost-effective. However, production equipment requirements, ESH/S
compliance and factory operational flexibility continue to drive increased facility capital and operating costs. Production
and support equipment are becoming more complex, larger, and heavier, thereby driving the need for a continuous increase
in factory size and tool packing density.
New and different process steps are increasing the growth of the cleanroom’s size faster than the increases in factory
production output. A focus on environmental issues such as carbon footprint reduction added constraints on the facilities
operational objective function. Consequently, the increasing size and complexity of the factory, the production equipment
and material handling systems, as well as the pressure to reduce time-to-market and facility costs, will make compliance
with many of the current requirements a greater challenge. Better coordination among the items listed below are necessary
to achieve these goals, improve system and space utilization, and control facility capital and operating costs:
• production equipment operation
• maintenance
• environmental requirements
• facility infrastructure system design
• handling new process chemicals throughout facility (source supply to exhaust treatment)

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
24 Technology Requirements

• installed utility capacities vs. load


• facility spaces/volumes
5.6.3. COMPLEXITY AND COSTS OF FACILITIES SERVICES RISING
Facility complexity and costs are also rising due to impacts from many areas including:
• rising utility costs
• need of better control on AMC (airborne molecular contamination)
• the greater variety of gases/chemicals
• disruptive factory requirements to meet emerging technology needs for 450 mm, EUV, 3D, etc.
• more stringent ESH/S regulations
• improved electrostatic charge and electromagnetic interference controls
acoustic controls
5.6.3.1. MEETING PRODUCTION EQUIPMENT REQUIREMENTS AT POINT-OF-USE TO REDUCE COSTS
Meeting production equipment requirements (such as vibration and air, gas, and liquid purity levels) at the point-of-use
may be a more cost-effective approach to meeting future requirements without increasing facility costs or sacrificing
flexibility. For example, reducing facility vibration requirements and then working with production equipment
manufacturers to ensure proper vibration control at the tool could reduce overall costs without decreasing the facility’s
flexibility. Reduction of air, gas, and chemical purity and piping installation specifications on central supply systems and
introducing localized purification systems to the specific equipment or areas requiring such measures can also help control
costs, improve flexibility and enhance operating reliability.
5.6.3.2. MEETING AMC REQUIREMENTS
An increasing impact on the AMC levels in the fabs is observed for the local scrubbers due to fugitive emissions during
maintenance, e.g. for dopants, besides the impact of removal efficiency and the resulting reintroduction of exhaust gases
back into the make-up air. The total AMC concept is illustrated in Figure FAC4.
Reductions of this cross contamination can be achieved by applying BKMs to abatement maintenance as well as improving
the overall removal efficiency for the abatement and central facility scrubbers.

Figure FAC4 Total AMC concept


5.6.3.3. MEETING STATIC CHARGE REQUIREMENTS
Electrostatic charge adversely impacts every phase of microelectronics manufacturing, causing three basic problems, as
follows:

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Technology Requirements 25

1. Electrostatic attracted (ESA) contamination increases as particle size decreases, making defect density targets more
difficult to attain. Electrostatic attraction of particles to masks will become a more serious problem if future
lithography methods eliminate the pellicle used to keep particles away from the mask focal plane.
2. Electrostatic discharge (ESD) causes damage to both devices and photo-masks. Shrinking device feature size
means less energy is required in an ESD event to cause device or mask damage. Increased device operating speed
has limited the effectiveness of on-chip ESD protection structures and increased device sensitivity to ESD damage.
3. Equipment malfunctions due to ESD-related electromagnetic interference (EMI) reduce OEE and have become
more frequent as equipment microprocessor operating speeds increase.
Electrostatic discharge (ESD) sensitivity trends will have larger impact on manufacturing process yields as the device
feature size decreases. Companies will need to increase their efforts to verify that the installed ESD controls are capable of
handling these devices and to make any necessary improvement in ESD control methods. This could include changes in the
ESD control item limits, changes in the frequency of compliance verification, and other forms of ESD monitoring, such as
ESD event detection.
It should be noted that progressive reticle pattern degradation in photomasks can be caused by electric fields that are very
much weaker than those that induce ESD damage. This damage phenomenon is called EFM (Electric Field Induced
Migration). Transient or rapidly changing electric fields that are not strong enough to induce ESD are particularly
problematic because they will cause cumulative EFM. This may escape detection until defective devices are being produced.
5.6.3.4. GUIDANCE ON RETICLE ELECTROSTATIC PROTECTION
When controlling ESD was the primary objective, limiting the field strength to which a reticle could be exposed was a valid
countermeasure. But it is now known that other damage mechanisms operate several orders of magnitude below the ESD
threshold, and they are capable of causing even more significant losses in microelectronics production than reticle ESD.
They operate cumulatively every time the field passing through a reticle changes, so every change in the field experienced
by a reticle, even at a very low level, has the potential to add to the degradation.
This leads to the deduction that it is no longer appropriate to address the electrostatic risk to a reticle in the IRDS by simply
tabulating a maximum recommended field strength year by year, as has been customary for ESD prevention. The number
of reticles moves taking place while any electric field may be present and the degree of a reticle’s exposure to transient or
oscillating fields may be more significant risk factors. The sensitivity of reticles to these difficult-to-quantify risk factors
will, however, inevitably increase over time.
For this reason, the recommendation for reducing reticle electrostatic damage is now to minimize a reticle's exposure to any
strength of electric field, not to move reticles while any electric field may be present, and especially to prevent transient
and oscillating fields from reaching a reticle. Achieving this will require the increased adoption of metallic shielding to
keep electric fields and especially fast field transients away from reticles. Measures that were developed to address the ESD
risk, but which do not protect reticles against these risks, such as equipotential bonding (grounding) and the use of static
dissipative materials for making reticle boxes, may need to be replaced with more protective approaches. Guidance about
this is provided in SEMI Standard E163.
5.6.3.5. MEETING ELECTROMAGNETIC INTERFERENCE CONTROL REQUIREMENTS
It has been known for many years that Electromagnetic Interference (EMI) (see the standard SEMI E33 for definition) 12
causes a variety of problems for microelectronics manufacturing, including, but not limited to, equipment lockup and
malfunction, sensor misreading, metrology errors, sensitive component damage and others. There are many sources of EMI
in microelectronics environment that include electromagnetic emission from ESD, operation of equipment, especially high-
energy tools, motors and actuators, wireless communication and alike. Co-location of sensitive equipment with high-energy
tools, cabling, ground problems, improper maintenance of equipment and others further aggravate EMI problems.
In the past these influences were limited to applications in research. Now, due to ongoing shrinking of structures and the
explosive increase of applications using wireless communication techniques, the influence of EMI effects in
microelectronics manufacturing fabs becomes more pronounced, particularly in areas where uncontrolled electromagnetic
fields are a very sensitive concern as in SEM/TEM, e-beam, and metrology tools to perform its intended functions.
Therefore, understanding EMI phenomena, its impacts, and how to mitigate it in a cost-effective fashion become more
important as process technology progresses into the future. Currently EMI is not well understood by the end user and thus
leads to misdiagnosed problems and misapplied EMI mitigation/controls. This needs to be addressed at a global level to
prepare for what is expected to be more electromagnetic-related impacts in the future. Recently released SEMI E176-1017
“Guide to Assess and Minimize Electromagnetic Interference (EMI) in a Semiconductor Manufacturing Environment”
offers comprehensive guidance for managing and mitigating EMI in semiconductor manufacturing and related industries.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
26 Technology Requirements

It includes EMI basics, guidance to EMI measurements in real-life installations, EMI mitigation recommendations and,
recommended maximum EMI levels that are fully harmonized with the IRDS.
To control and reduce the negative impact of EMI on wafers, materials and equipment, more comprehensive studies,
advanced methods and measurement tools are needed.
5.6.4. MICROELECTRONICS INDUSTRY FUTURE CHANGES AND REQUIREMENTS
Despite the continuous device feature size shrinkage and increase of process complexity in process technology according
to Moore’s Law, the drive towards the reduction in manufacturing cost will result in the introduction of larger wafer sizes,
such as 450 mm wafers. Such a change will also have implications on the design and construction of a wafer manufacturing
facility due to increases in overall size, height, and weight of process equipment, their utility consumption, and other
process-driven facility requirements such as AMC, EMI, electrostatic protection (including but not limited to ESD
protection), and acoustic controls. and acoustic controls.
With more production support equipment placed in the sub-fab, a utility sub-fab may be required to house additional
equipment. For example, the addition of local purification and reclaim systems at the support equipment level will require
more sub-fab area. These challenges will continue to drive the need for further facility technology development in such
areas as:
• PFC abatement
• structural design
• AMHS facility integration
• chemical delivery facility integration
• Ultra-pure Water (UPW) delivery
• Utility water use efficiency and reuse/recycling
• energy efficiency
• communication challenges (energy, water, waste, emissions, management) infrastructure
• Airborne Molecular Contamination (AMC) control
• EMI/ESD controls and other electrostatic control measures
• microelectronics materials ESH/S management
• Energetics Materials ESH/S management and facility consideration
Such considerations must also be evaluated in the case of a planned conversion of an existing wafer manufacturing facility
to the next wafer size.
5.6.5. RESOURCE CONSERVATION CONSIDERATIONS
The need to reduce resource consumption is an area that requires greater attention. This will necessitate the integration of
new technologies in the design and construction of facilities as well as different operational strategies.
For example, reduction of the cleanliness within the manufacturing space to ISO Class 7 could reduce the recirculation air
volume requirements. (Consider widening temperature, humidity, and pressurization requirements) This would have a
ripple effect on the exhaust and make-up air systems; which would lead to reduction in power consumption. Process
equipment idle and sleep modes can also reduce energy consumption during non-processing times. Heat recovery systems
can reuse heat otherwise dissipated to the atmosphere. Using more process cooling water will further reduce the amount of
recirculation air required to remove heat generated by the process equipment.
These are just some examples requiring further consideration. Green technologies must also be considered for integration
into the design and construction of future facilities. For example, by incorporating concepts such as those outlined by the
US Green Building Council’s LEED program into the design of the facility, energy and water conservation strategies would
need to be more widely adopted.
With the new technologies and the introduction of mega fabs the energy and water footprints become significant when
considering the local available infrastructure. Seasonal draughts and geography specific water availability in some advanced
microelectronics fab locations further exacerbate the concern.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Technology Requirements 27

The infrastructure itself will be a serious limiting factor for many locations both with regard to water and power availability,
quality and cost. It will become an increasingly important site selection constraint for new fab construction or expansions
of the existing facilities.
Technology development needed to be driven both for energy and water consumption to reduce the external utility footprint.
But this task is much more complex than it looks at first glance.
1. Water recycling and reuse will require substantial investment in either complex segregation of the industrial
wastewater streams with subsequent treatment or sophisticated end-of-pipe solutions.
2. Increased water recycling at same consumption level will reduce the external water supply needs but will increase
energy and potentially also chemical consumption.
3. Water reuse may also increase parameters in the site outfall posing the risk of environmental compliance
a. Chemical consumption has dramatically increased in latest technology generations. Unless the chemical
consumption is reduced dramatically, or the chemical waste segregation is not improved, increased water
recycling excursions in waste water concentration and issues environmental compliance and external water
reuse will be the result.
4. Process requirements such as lithography needs (EUV or multiple patterning) as well as the need to reduce F-GHG
and N2O emissions will drive power consumption even further. Increased energy consumption adds cooling load,
which results in higher water evaporation in the cooling towers. The effect is similar to recycling, increasing
concentrations of the contaminants in the site effluent.
More development is needed to address these complex and interconnected issues.
5.6.6. INDUSTRY COLLABORATION FOR FACILITIES
To reduce the time from groundbreaking to the first full loop wafer out, a paradigm shift in the way facilities are designed
and constructed will be required to meet the following demands
• the fabrication process and the production equipment will increase in complexity
• factory operations will seek more flexibility.
global codes, standards, and regulations will increase in variability.
This shift entails complete integration of the IC manufacturer, the factory designers/builders, and the production equipment
manufacturers into the entire project team. At a minimum, the project team must be assembled at an early stage with process
engineers, manufacturing engineers, facility engineers, design consultants, construction contractors, ESH/S personnel, as
well as manufacturers of process equipment and facility components.
Development of building information models, standardized design concepts, generic fab models, and off-site fabrication
will be required to meet desired cost reduction goals to deliver a facility capable of meeting both current and future process
technology requirements. Challenging the production equipment suppliers and factory design teams to develop and conform
to a standardized utility infrastructure will also help control capital cost and reduce time-to-market.
Development of sustainability concepts for factory construction and operation will improve resource usage and reduce the
environmental impact, for example:
Production equipment installation costs and time continue to be driven higher by increasing gas, chemical and utility
connections, energy conservation methodologies, and process-driven facility and ESH/S compliance requirements. Earlier
awareness of new production equipment designs, standardization of production equipment connections, and the materials
of construction, and the availability of measured utility consumption flow data in a standardized database system would
allow for appropriate construction of the base build with an emphasis on “Design for Facilities”.
Construction costs can be substantially reduced by lowering exhaust /make-up air requirements, raising non-critical process
equipment’s cooling water inlet temperatures to a level where no central chiller plant is required for this equipment and
using higher voltage power for production equipment as much as feasible.
Operating costs can be reduced by innovative reuse and recycling concepts for Ultra-Pure Water (UPW), implementing
equipment “sleep” mode during idle periods, raising process cooling water temperatures.
Although reliability of facility infrastructure systems is currently sufficient to support manufacturing, much of it has been
achieved through costly redundancy. Improvements are still required in the design and operation of individual electrical,
mechanical, chemical delivery, and telecommunications and facility control components and systems to reduce

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
28 Technology Requirements

manufacturing interruptions. Collaboration with facility component manufacturers and equipment suppliers may modify
the N+1 philosophy for redundancy, and positively affect costs without sacrificing reliability.
5.6.7. 450 MM CONSIDERATIONS
Any significant change in the production equipment, both for post-CMOS or for the next generation wafer size, such as
new chemistries, the wafer environment or handling requirements (nitrogen or vacuum atmospheres, transition of an
equipment type from batch processing to single wafer manufacturing, etc.), will have an impact on future factory
requirements. The high cost of a 450 mm fab will increase the capital investment risk and drive more focus on loss
prevention mitigation such as increased fire protection, more robust building materials and MFL (maximum foreseeable
loss) separation walls within the fab.
The table below outlines facilities technology requirements.

Table FAC11 Facilities Technology Requirements

5.7. SECURITY NEEDS


5.7.1. INTRODUCTION
Advancement of the “connected fab,” which is one of central concepts of “Industry4.0/Smart Manufacturing,” requires a
growing number of direct data exchanges within and beyond the factory integration space. As an example, these data
exchanges could be used to support distributed systems for specialized services including remote diagnosis and predictive
analytics provided through a data network that may extend beyond the fab intranet. While it is unknown to what extent the
“connected fab” concept prevails in microelectronics manufacturing space, it is certain information security will become
more challenging with the increase of data shared across the factory integration space.
Attention is also drawn to the fact that security functions and other important aspect of fab/equipment operation controls
such as safety may have conflicting objectives (for example fire safety wants normally-open control, i.e., to keep door
open/available, while security may want normally-closed control). It should also be noted that management of these
functions may also be required.
Currently in manufacturing, IT security issues are usually only raised reactively once the development process is over and
specific security related problems have already occurred. However, such belated implementation of security solutions is
both costly and often fails to deliver a reliable solution to the relevant problem. Consequently, it is necessary to take a
comprehensive approach to security in factory integration; this approach would be a process that would include
implementation of security threat identification and risk analysis, and mitigation cycles on security challenges.
These issues are not unique to microelectronics manufacturing, and many of the issues go beyond manufacturing in general.
Thus, any roadmap for security in the IRDS should be developed and presented through reference to challenges and potential
solutions across the manufacturing space. As an example, the IEC has set up an Advisory Committee (AC) on Information
Security and Data Privacy (ACSEC, www.iec.ch/acsec). Any microelectronics manufacturing specific issues should be
delineated, and related gaps with the general manufacturing security roadmap identified.
Significant change will be associated with the transition from vertical silos of data within an organization, to a future based
upon distributed data being shared between many data owners and consumers. This will require a different trust model and
corresponding security approaches.
5.7.2. SECURITY OBJECTIVES
The primary objective of security in the FI data space is to maintain confidentiality (the restriction of access to data and
services to specific machines/human users) and integrity (accuracy/completeness of data and correct operation of services)
of information, while providing the necessary availability of that information (a means of measuring a system’s ability to
perform a function in a particular time).
5.7.3. SCOPE
As mentioned in the Introduction section of this chapter, the scope of security in microelectronics manufacturing space
includes 1) protection of crucial manufacturing data from unauthorized viewing or changing; 2) access authentication
mechanisms for both human and non-human entities; 3) managing user class read-write privileges; 4) achieving balance
between data availability and protection of both microelectronics manufacturers’ manufacturing IPs and equipment

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Technology Requirements 29

suppliers proprietary information; 5) maintaining software security levels; 6) maintaining performance of equipment control
systems hardware, software and communication (e.g., production equipment capability to communicate with host in timely
fashion) while addressing security threats (e.g., viruses) and vulnerability of systems; 7) protecting quality and integrity of
big data; 8) application of big data analytics to identify security issues, and 9) protection of fab and equipment operation
control systems from unauthorized operation or intentional alteration including destruction of control systems themselves.
Physical security, such as protecting essential fab facilities and systems from physical attacks (e.g., destruction/breach of
fences or locked gates around fab physical perimeter to sabotage basic fab infrastructures (e.g., hazardous chemical supply
systems), is considered outside the scope of this chapter.
5.7.4. SECURITY FOR DATA SHARING
Achieving business targets in the FI focus area requires that data be shared across the factory integration space. For example,
the concept of the “connected fab,” which is one of central concepts of Industry 4.0/Smart Manufacturing, even indicates
potential direct data exchanges beyond the factory integration space. While data must be made available to promote fault
detection and classification (FDC), predictive maintenance (PdM), advanced process control (APC), etc., at more granular
levels (e.g., lot-based to single-wafer-oriented for maximizing productivity), protection of data and intellectual property
(IP) within data will become more complicated and sometimes contradictive to needs of data availability).
5.7.5. SECURITY FOR EQUIPMENT OPERATION BY THE FICS
IP protection capabilities of equipment needs to be adaptable to conform with a fab’s security policies while achieving
balance between data availability and IP protection. In addition, equipment control systems must be able to maintain critical
functionalities and performance (e.g., safety control functions) when security measures are implemented through FICS, or
in the event of security attacks.
5.7.6. SECURITY FOR BIG DATA AND LEVERAGING BIG DATA FOR SECURITY
Security must be ensured to allow the effective use of technology such as cloud computing in microelectronics
manufacturing. At the same time application of big data analytics such as abnormality detection and automated
countermeasures should be leveraged for improved security capabilities.
5.7.7. IP SECURITY FOR IIOT WITH REGARD TO DATA VOLUMES, ACCESS, AND
ASSOCIATED DATA PARTITIONING
5.7.7.1. UNIQUE NEED OF IIOT
The next stage in the evolution of the industry is predicated upon the ability for each step in the manufacturing process to
make active decisions based upon a rich picture of environmental and process conditions, variations and issues in previous
steps and anticipated demands from future steps.
The creation and operation of independent smart process components that can collaborate as part of a distributed network
in this manner is entirely dependent upon access to unprecedented amounts of data. This new requirement for data must be
understood from two key contexts:
(1) The creation of any given smart process component is constrained by the ability to train a suitable machine
learning model to fit the problem. Training an effective model that is able to detect previously undiscovered patterns in a
process operation and facilitate significant optimization requires a very large, aggregated dataset that contains typically
hundreds of thousands to tens of millions of examples of large numbers of features that can potentially impact the efficacy
of the process.
(2) Once created, the operation of this smart component depends upon the supply of up-to-date information about
all relevant features previously identified at a cadence appropriate to the time-sensitivity of the process. In this context, that
is likely to involve moving volumes of data concomitant with processing at atomic scale, with latency low enough to support
real-time processes.
5.7.7.2. IIOT AND IP SECURITY CHALLENGE
Taken on its own, this is a hard, but not intractable problem. Many suitable approaches exist in other fields. In the context
of Factory Integration, however, there are other factors that we must consider:
In a free market, we must assume that individual process stages in a factory may be carried out using equipment sourced
from multiple different suppliers, where each piece of equipment encapsulates some form of intellectual property owned
by the relevant supplier. Furthermore, the combination of processes and equipment across the factory represents part of the
IP of the factory operator.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
30 Technology Requirements

The fundamental nature of the data required to operate a distributed network of smart components is such that it potentially
also reveals key elements of the IP inherent in various stages of the process. Thus, the core of the issue relating to
implementing IIoT solutions across the fab is one of trust.
To understand the problem, we must recognize that there are multiple perspectives at play here. A factory operator may
consider that they have purchased all the equipment and therefore have a right to own all the data being utilized within that
instance. They may desire to openly share all the data across all the equipment they own to optimize their process, protecting
their IP at the boundaries of the facility. Whilst technically possible, this may not sit well with individual equipment
providers, who will be naturally concerned that intimate details of their process IP can be accessed by both the factory
operator and most of all by competitive suppliers via equipment downstream from theirs.
If viewed as a zero-sum game like this, individual suppliers are likely to be predisposed towards offering only integrated,
end-to-end Smart Manufacturing solutions that encapsulate at-risk IP within their own eco-system and also increase vendor
lock-in. History shows however that this direction is always bad for the industry.
If we are to be successful in delivering Smart Manufacturing as a forward enabler to More than Moore, it is essential that
we do so in a way that enables collaborative, non-zero-sum outcomes through the continuation of the pre-collaborative
behaviors that have served the industry so well in the past. It is critical that we encourage the adoption of mechanisms that
continue to support working together to solve big problems.
Some of the infrastructure needs for cloud computing for the factory can be borrowed from commercial computer clouds.
An adequate architecture to integrate factory data from multiple locations for a holistic analysis is needed. Beyond this,
however, we need to establish standards for federated networks of trust between suppliers and operators such that critically
needed data can be provided where needed to enable SM capabilities whilst mitigating the threats that undermine
collaborative environments. This could take the form of automated data sharing agreements managed by smart contracts or
involve trusted escrow systems to protect the usage of data whilst still enabling the overarching benefits of synergies that
arise spontaneously in a modular system. Only if we have the benefits of network effects within our IIoT strategy will we
see the improvements necessary to unlock this forward enabler.
There is a scale problem inherent in addressing this issue. Looking to examples in other industries such as logistics, we see
somewhat of a trust paradox. Only the largest vendors have existing trust relationships that have enabled them to implement
data sharing technologies at a scale large enough to see the benefits of network effects, but the larger the player, the less
likely it becomes that other players will align to their shared proprietary protocols for fear that this grants the owner a tool
to enforce commercial dominance in the original market. Left to individual suppliers, zero-sum thinking dominates.
As we have seen many times in the past, if we are to continue to maximize the benefits for all, we should seek to encourage
the creation of a collaborative and open mechanism for federated trust that is jointly supported and open to all.
To facilitate this, it is recommended that a SEMI standard be developed in federated data sharing for IIoT solutions, taking
into account the needs of data consumers, data owners and suppliers in the context of data sharing and revenue attribution.
Cloud-based storage with secure global access methods: The advent of cloud-based technologies will impact control
systems architectures. This will include cloud-based software delivery/update/support mechanisms, hosted services and
more movement towards software as a service (SOS). The cloud will enhance the capability for cooperation between user,
OEM and control systems supplier, however, use of these capabilities will require the addressing of number of security and
IP issues.
5.7.8. SECURITY CONSIDERATION FOR INTEGRATED SUPPLY CHAIN
The integrated supply chain can be seen as a network of smart nodes making production decisions based upon information
sourced from further up and down the network. In other words, it is much the same pattern as seen in the section relating to
IIoT, but at a different fractal scale. Whilst some of the content being shared may be somewhat different, we are able to see
from this perspective that we face the same patterns of trust and collaboration detailed above.
The largest difference between the two scenarios is that whilst shared data resides within an IIoT network inside a factory,
there is at least the illusion that one might be able to use conventional IT structures to retain control over the data. Once we
consider data sharing across the supply chain however, it becomes much more obvious that there are limits to our ability to
control who might gain access to elements of this data as it transits across the distributed network.
We consider the issues relating to the confidentiality and integrity of the data in the security sub-chapter so here we shall
focus on the issues relating to facilitating the availability of the data.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Technology Requirements 31

It is suggested therefore that the needs of both IIoT and supply chain networks be considered when proposing standards
for information sharing within the industry.
5.7.9. CROSS-CUTTING CONCERNS AND OPPORTUNITIES
There is a trend of increased data sharing activities up and down the supply chain for different purposes such as regulatory
(e.g., EU RoHS) compliance information; transfer from the upstream manufacturer of parts/materials to the supplier of final
product at the downstream, or transfer of process condition information to meets traceability demands of downstream of
the supply chain. The patterns associated with this sharing and control of distributed data are also the same as those faced
in the IoT/edge computing space. For maximal business value, such data sharing activities need to be amenable to a
common, standard approach. It is suggested that regular crosscuts between FI, ESH/S, SA and OSC IFTs are maintained to
align thinking on this problem.
5.7.10. SECURITY TECHNOLOGY REQUIREMENTS AND POTENTIAL SOLUTIONS
Achievement of the security vision is associated with a number of technology requirements which have been presented in
the previous section. Some of the security technology requirements are consolidated in Table FAC12 in this edition. More
of security requirements will be further quantified in the table in future versions of this report.

Table FAC12 Security Technology Requirements

5.8. SMART MANUFACTURING NEEDS


5.8.1. INTRODUCTION: THE SMART MANUFACTURING VISION
As noted earlier Smart manufacturing (SM) is a term “generally applied to a movement in manufacturing practices towards
integration up and down the supply chain, integration of physical and cyber capabilities, and taking advantage of advanced
information for increased flexibility and adaptability” [3–5]. It is often equated with “Industry 4.0” (I4.0), a term that
originated from a project in the German government that promotes a 4th generation of manufacturing that uses concepts
such as cyber-physical systems, virtual copies of real equipment and processes, and decentralized decision making to create
a smarter factory [6,7].
While the literature base for SM and I4.0 is wide and varied, common themes or tenets of SM are present that help provide
an understanding of the whole SM and I4.0 space, as well as structure for organization of SM roadmap elements [3]. A SM
vision for the microelectronics industry is shown in Figure FAC5[3]. Note that, while the tenants of SM and I4.0 are not
industry specific, each industry has its own unique challenges and opportunities, and industry-specific variations of the SM
vision emerge.

Figure FAC5 A Smart Manufacturing vision for the microelectronics industry.[3]


Microelectronics manufacturing is a very unique industry characterized by high process precision and dynamics, process
and equipment complexity, high degrees of intellectual property (IP) in equipment, processes, and analytical solutions, and

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
32 Technology Requirements

a business model that focuses on development and maintenance of fab-wide solutions [3]. These characteristics result in
unique requirements (or at least reprioritization of requirements) and challenges in realizing smart microelectronics
manufacturing.
5.8.2. SCOPE
Combining an understanding of the focus areas of SM with the unique needs of the microelectronics manufacturing
ecosystem results in a set of common themes or tenets of SM for microelectronics that provide an understanding of the
scope of the whole SM and I4.0 space in this domain, as well as structure for organization of SM roadmap elements [3].
Specifically, unless otherwise indicated, the FI chapter is dedicated to maintaining a roadmap for each of the following SM
tenets:
Big Data: Data management infrastructures are being enhanced to support improvement in capabilities associated with the
“5 ‘Vs’”, namely volume, velocity (data collection and analysis rates), veracity (data quality), variety (data merging and
consolidation), and value (data analytics) [8]. This enhancement is punctuated by the movement to big data architectures
such as Hadoop that support (1) storage of data in a serial or sequential fashion, which is much more “analysis friendly”
than traditional relational architectures; (2) parallel and scalable approaches for higher speed analysis of larger quantities
of data; and (3) an open-architecture style environment for development of data management and analysis tools. A key
challenge is the migration from existing data management infrastructures and understanding how the data infrastructures
co-exist in a collaborative environment to support capabilities ranging from real-time on-line decision making to off-line
high-fidelity model building [17].
Augmenting reactive operations and analysis with predictive and prescriptive: A key aspect of the SM movement is moving
from a more reactive mode of operations, where techniques (e.g., fault detection) focus in detecting and responding to an
event after it has occurred, to moving towards a mode where events can be predicted before they occur (e.g., predictive
maintenance) thereby avoiding any costs associated with the event. This trend also incorporates the concepts of prognostics
which can be thought of as the discipline around the prediction capability, as well as prescriptive analytics which focuses
on determining why an event has or will occur and how to mitigate issues in the future. While the SM focus is moving
from reactive to predictive and prescriptive solutions, not all events are predictable or avoidable, thus prediction and
prescription will augment reactive capabilities.
Advanced analytics and applications: The primary benefit of implementation of big data infrastructures and practices will
be the enhancement of analytics to support improvement in the quality of existing capabilities such as fault detection and
classification (FDC), but also in the realization of advanced predictive capabilities such as virtual metrology and predictive
maintenance (PdM). These analytics will leverage increased data “volume” and “veracity” for more robust and
maintainable models; “velocity” for more granular models; and “variety” for more causal and predictive models. From the
“value” perspective, traditional analytics will become much more effective, leveraging the higher data volumes and data
quality to build more robust models. New big data analytics such as deep learning will also emerge to complement more
traditional analytics.2 Additionally, the better integration of data systems will enable these analytics to span much larger
domains, such as up and down the supply chain, and incorporate techniques such as “digital thread” for linking analyses to
data chains to solve factory-wide or even supply-chain wide problems. While there is a strong literature base in the industry
of specific analytics being applied successfully to point solutions, it often is not clear how and when specific analytic types
should be employed. This often results in a focus on the elegance of the analytic (e.g., deep learning or purely statistical
techniques) over the practicality, extensibility and robustness of the solution, and a lack of emphasis on incorporating SME.
As a first step to address this issue, SM literature efforts have tried to define the analytics capabilities in terms of dimensions
and apply these dimensions to the needs of particular applications, [3,18]. This helps provide an analytics roadmap
Digital Twin: “A digital twin refers to a digital replica of physical assets, processes and systems that can be used for various
purposes” [11]. The digital twin vision is further refined in Section 5.8.4.2 as “a state of fab operations where … real-time
simulation of all fab operations occurs as an extension of an existing system with dynamic updating of simulation models.”
Digital twin can be used to support and improve operations, controls and forecasting throughout the manufacturing
ecosystem. Many of the predictive applications being developed in the industry today will likely continue to evolve to
support this vision more directly.
Industrial Internet of Things (IIoT) and the Cloud: The Industrial Internet of Things (IIoT) and Cloud refers to the technical
challenges and solutions associated with providing localized individual analysis and solution capabilities closer to the
problem source, often referred to as an “edge” device, and providing a wide range of capabilities in a centralized, internet

2Deep Learning is a technique that is very similar to structured artificial neural networks and leverages hierarchical abstraction for
improved quality and speed of high-volume data analysis [13].

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Technology Requirements 33

accessible data management and analysis location usually referred to a “cloud”. Oftentimes edge and cloud solution work
together to provide more comprehensive solutions.
Integrated supply chain: Tighter vertical and horizontal integration of systems is a common tenant of SM and leverages the
“variety” data merging and consolidation enhancement in data architectures. From the horizontal integration perspective,
the factory will become an integral part of the upstream and downstream supply chain network with factory optimization a
component of overall supply chain optimization. The tighter connectivity will allow for leaner operation, better inventory
management, higher flexibility of operation, improved response to demand, and better traceability to address issues such as
warranty recall investigation. An obvious requirement is the development of standards for supply chain data integration
that are not industry specific.
Reliance on a knowledge network: The movement in technology associated with SM and I4.0 requires a corresponding
change in the business operation paradigm. As solutions become more complex and consolidate larger domains of data
systems and applications, realizing and maintaining these solutions requires a higher degree of cooperation between users,
OEMs and analytics solution providers in a structured knowledge network [11]. This cooperation enables the required
incorporation of subject matter expertise (SME), e.g., process, equipment and product knowledge) into data-driven
(statistical) models for improved model quality and robustness. Issues such as data sharing and partitioning, intellectual
property security, and managing solutions in the cloud have all come to the forefront as part of the move to enhance support
for this cooperative knowledge network [8]. The heightened importance of incorporating subject matter expertise in
microelectronics SM solutions comes from the complexity, precision and dynamics associated with processes and
equipment as noted above, but also because the production environment is associated with a large of number of context
changes (e.g., product change, maintenance event, or different upstream product route). In a purely statistical analysis
world, these complexities would result in a need to partition data streams in order to understand the impact of each context
change, process drift, etc. This, in-turn, would result in changing the “big data” source into a large number of “small data”
sets with insufficient precise data in each set to support good models. Incorporation of elements of process, equipment and
product SME allows quality models to be developed, verified and especially, maintained with less data. It also allows for
the intelligent merging of these small data sets when the relationships between the different context and dynamics situations
are understood.
Maintaining data and IP security: While the opportunities in SM are significant, this new paradigm of operation brings
with it a risk of maintaining security in the face of higher levels of integration, data production and management, and
information sharing for collaboration. While this is a challenge for SM in general, it is especially acute in microelectronics
manufacturing where there is significant IP in process, equipment and analysis solutions. In fact, it is noted in Section 1.1
that information security is one of the primary challenges hindering the advancement of microelectronics industry smart
manufacturing and I4.0 concepts [1]. Aspects of this issue vary widely ranging from concerns such as protection of IP in
collaborative activities to introduction of malware through a USB hookup. One specific area where security is severely
limiting SM evolution is data sharing environments such as “the cloud.” These environments allow data from multiple
sources (including potentially multiple companies) to be centrally located so that analytics can be applied in a scalable
fashion. However, cloud-based data and IP partitioning risks and solutions are not well-defined, leading many
manufacturers to completely avoid these solution tools, instead choosing to execute SM activities completely and
exclusively within the fab. With the help of the IRDS, a roadmap to address the data and IP security issue will eventually
be charted that first identifies the issues, a solution baseline, and standards needed for moving forward [8]. Until that time,
security will likely be the main issue governing the progress of SM in the microelectronics industry. Note that the FI chapter
maintains a security roadmap in Section 5.7. This roadmap governs aspects of maintaining data and IP security in SM
environments, thus a security roadmap section is not provided with the SM roadmap.
Improving use of cyber-physical systems (CPS): CPS refers to the “tight conjoining of and coordination between
computational and physical resources” [16]. This is not a new concept as systems that integrate computational and physical
resources have been in existence for some time. However future SM systems will continue to improve from a CPS
perspective in terms of “adaptability, autonomy, efficiency, functionality, reliability, safety, and usability.” Because CPS
is a very general term that applies to the overall evolution of manufacturing systems, the FI roadmap currently does not
have a dedicated CPS roadmap section, but rather integrates CPS elements in other portions of the SM roadmap.
Smart Manufacturing and the FI Roadmap
Several SM and I4.0 common themes are expected to immediately impact the FI roadmap. Other aspects of SM and I4.0
will be addressed in greater detail in future FI roadmap reports.
Beginning with the 2020 FI roadmap, SM is consolidated in this subchapter, which contains an overview section as well as
sections dedicated to the following SM tenets:

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
34 Technology Requirements

• Big data
• Augmenting reactive with predictive and prescriptive
• Advanced analytics and applications
• Digital twin
• Industrial internet of things (IIoT) and the cloud
• Integrated supply chain
• Reliance on a knowledge network

The sections that are dedicated to individual SM tenets are structured as functional areas and thus contain (or will contain)
roadmap narrative and tables corresponding to technology requirements and potential solutions.
Figure FAC6 provides an illustration of how the roadmap materials associated with the various SM tenets are organized in
the FI roadmap. Note that additional tenets may be added in future versions of the roadmap as they become an important
part of the FI roadmap.

Figure FAC6 Illustration of how Smart Manufacturing (SM) tenets are organized in the FI roadmap
The various tenets related to SM, depicted as boxes in blue, have their own technology requirements and potential solutions
roadmaps. Thus, each of these tenets will be given a sub-section in this SM section with narrative, challenges tables, and
potential solutions tables. Example topical areas, shown in green, will be addressed in the appropriate tenet section.
5.8.3. BIG DATA NEEDS
5.8.3.1. INTRODUCTION
Improving factory operations and traceability requires that companies invest in solutions to effectively manage their data
growth. Data generation, storage and usage have increased in the factory because of the improvements of microelectronics
equipment computer interfaces that provide higher rates for data collection and additional equipment parameter data
availability. In addition to the increase of equipment generated data, manufacturing data analysis requires more complex
data integration because the needed data comes from multiple sources and databases. Traditional relational database and
file systems processing capabilities are being exceeded by transactional volumes, velocity responsiveness, quantity, variety,
and veracity of data created. This explosion of data growth in manufacturing has created a set of requirements which are
commonly referred to as “Big Data” (BD). As a result, there are significant efforts across industry to define big data and
the big data problem. A consolidated effort is being headed by NIST (National Institute of Standards and Technology) [12].
Big data is characterized by an increase in: data volume, velocity of generation (as well as variability in collection and
storage rates), variety of data sources, difficulty in verifying the veracity, or “quality”, of the data, and difficulty in obtaining

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Technology Requirements 35

maximum value from the data through efficient analytics and processing. From an information technology perspective, big
data represents data sets whose size, type, speed of creation, or data quality make them impractical to process and effectively
analyze with traditional database technologies and related tools in a cost- or time-effective way.
5.8.3.2. SCOPE
The scope of this big data section is to identify the challenges and potential solutions associated with big data attributes of
the following: volume, velocity, variety, veracity and value in microelectronics manufacturing environment.
5.8.3.3. TECHNOLOGY REQUIREMENTS
Big data technology requirements can be categorized according to the big data issues identified above, namely volume,
velocity, variety, veracity, and value.
Volume
With the increase of data collected per tool and per wafer, storage of large amounts of data (petabytes) places considerable
load and cost on existing infrastructure, such as analyzing, storing, processing and cleansing data. Algorithms to optimize
the storage of data are needed. Data models that enable access of the data in an optimal and reliable way must be developed
and standardized for applications to plug and play.
Velocity
Velocity issues with data include speed of generation, speed of compression as needed for transmission, speed of
transferring, speed for pre-processing for storage, speed of storage and speed of analyzing. The rate of data generation is
exceeding the ability to store it in the underlying systems. For example, sensor networks can generate vast data sets and at
rates that exceed the storage capability of traditional SQL databases.
Variety
Merging different data sources and data types is often difficult, time-consuming and results in data quality degradation
(Veracity). For example, wafer image data (from visual inspections) is not easily stored with numeric data types in the same
database table. A factory must make huge volumes of data meaningful to the product flow and process steps such that
multiple applications can take advantage of the data to create meaningful and actionable information.
Veracity
Veracity refers to the accuracy or truthfulness of the data. For example, data store reduction can be accomplished by new
and emerging techniques used to compress data without impacting the quality of the data and ensuring no loss of
information. These tools or applications may not be sufficient or could be limited by the type of application used by the
factory. Retrieving the compressed data by those applications may also impact the accessibility and quality of potential
predictions from that big data.
Another common issue is using data timestamps from multiple sources to merge data. These timestamps are often
unsynchronized resulting in low data quality of merged data, thus impacting the factory’s ability to used data from multiple
sources reliably. The scope and/or resolution of the data collected from multiple sources and often at different rates further
complicates the merging of data. For example, merging metrology with Fault-Detection Control (FDC) data and
maintenance data provides many unique challenges.
Data that depends on or is created by personnel (i.e., “human entered data”) can often be associated with many data quality
issues such as accuracy, timeliness or freshness, availability, and clarity. Challenges arise from merging different types of
data (such as a context data) with continuous tool datasets. In this area standards may be required to reduce errors created
by humans. Correlation of personnel actions to resolve problems with the process tool would also likely benefit from
standardization with the goal of optimizing the quality of the data.
Value
The cost of big data needs to be balanced with its potential value. Costs include collection, storage, and processing of the
data. This is weighed against the benefits—both quantifiable and unknown. The unknown benefits refer to data that might
be collected with the thought of data exploration and/or future event analysis (the event has not yet happened, but the data
might provide insight into how the event would occur).
To help determine the value of data there are often statistical applications specific to particular groups of data consumers.
For example, factories are often interested in fault detection, condition-based health monitoring and prognostics information
to the factory. These applications can become bottlenecks in their attempt to analyze and provide information in near real
time of high-volume, high-velocity data. Factory specific applications need to provide plug and play means to access the

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
36 Technology Requirements

data or information they generate such that data analysis can be done at different layers and with different types of data.
Applications need easy access to the data, in the right format, for efficient analysis to occur.
Big Data Decision Support Systems and Expert Systems used for analysis in manufacturing and operations are becoming
part of the factory. Access to data from yield management, scheduling, dispatching and/or maintenance applications will
require appliances to allow big data analytics. These all must be considered when determining the value of the data.
A solution area that determines and can enhance the value of data over time is the algorithms or analytics used for providing
value, such as predicting an event, and supporting investigation of data though data mining. Challenges and potential
solutions related to these algorithms and analytics are presented in the Advanced Analytics and Applications section of this
Smart Manufacturing subchapter. Big data environments will allow for the application of these algorithms more efficiently
over much larger data sets. These environments will also encourage the development of more complex multivariate
algorithmic approaches for data quality improvement, partitioning/ordering, clustering and analysis. Much of this
development will be pioneered in other industries. The relatively rapid evolution in this area will require analysis solutions
that are modular to support evolution, rapid prototyping, and plug-n-play of analysis capabilities.
5.8.3.4. GENERAL BIG DATA AREAS OF CONCERN
Migration to Big Data-Friendly Ecosystems
Moving to big data solutions involves addressing any number five Vs at various levels. Currently this is often accomplished
by enhancing existing systems, e.g., to support larger data volumes or improved data quality. However, over the longer
term it is anticipated that all of manufacturing will move to include more big-data friendly solutions such as those that
contain Hadoop Ecosystem components. Initially these solutions will be used primarily for off-line, non-real-time 3
applications such as off-line data mining to support generation and maintenance of prediction models. In these areas, the
move to big data-friendly solutions will be motivated by reduced cost of ownership with respect to data volumes, improved
analysis processing speeds, and increased analysis capabilities resulting largely from the parallel processing capabilities of
the ecosystem. Over the longer term some of these solutions will likely be used for on-line non-real-time applications; the
development to support this capability will likely come from outside of the microelectronics industry. The level of real-
time response capability of these systems over the longer term is unclear, however there will continue to be pressures from
other industries to push big data system capabilities into the real-time response realm.
Traditional relational and other transactional data management capabilities will continue to exist to support capabilities that
are highly transactional in nature (versus data volumes) as well as real-time and near real-time capabilities that require
response times that cannot be reliably achieved by big data friendly solutions (e.g., in-process fault detection—FD4). Often
the big data-friendly ecosystems will represent a historical data warehousing extension of (near) real-time data management
systems. For example, a transactional database component for an FD system might support housing control rules, report
formats, etc., as well as a few days of trace data for analysis. Thus, it could support short term and small data size analysis
queries. The corresponding big data-friendly ecosystem system would house all trace data and would support longer term,
larger size data analysis, e.g., for development of prediction models. The data collection and analysis infrastructure would
have to support populating and data mining across both infrastructures. The determination of the historical data size in the
transactional component will be a function of a number of factors including a comparative analysis of transaction speeds.
A migration path will facilitate the move to big data friendly systems. The migration path will allow operation across
composite systems consisting of both big data friendly and relational ecosystem components. In many cases this will allow
for a gradual increase in the role of the big data friendly component over time. Capabilities from other industries will be
leveraged in facilitating the migration path.
Prediction capabilities will be one of the primary beneficiaries of the move to big data-friendly ecosystems, as many of the
big data challenges associated with ARPP, such as Volume, Veracity (data quality), and Value (analytics) will be addressed
in-part by the move to big data friendly ecosystem solutions. However, many other capabilities will benefit. These include
capabilities that leverage 1) data volume, such as root cause analysis, 2) data variety or multi-dimensional data analysis,

3 “Real-time” response as used in this section is a response that is prompt enough so that the application does not result in delay of
processing. Thus, real-time response for a fault detection—FD analysis application at the end of the “run” (e.g., recipe or recipe step)
would require that the analysis be completed and necessary action taken before processing begins on the next run.
4 “In-process FD” is used here to mean FD that that is providing analysis and response during processing. An example might be an

endpoint detection mechanism. The response time requirement is dependent on the speed of processing, but can often by on the order of
less than 1 second.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Technology Requirements 37

such as yield enhancement, factory operations, supply chain management and OEE, and 3) data value or analytics, such as
new analysis for fault detection and classification.
Data Security
Making data available for advanced analytics will likely be challenging because of multiple levels of user data accessibility
needs. Determination of standardized policies will be applied to big data to make sure internal and external users have
access to the data. Empowerment in the organization to explore and discover uncovered patterns and trends in the factory
will likely be performed by internal resources. Big data will need to be secured and managed by the factory but access to it
may be limited by security policies or firewalls inherent to the computer or server infrastructure, see also section 5.7.
Data Retention
Data retention in big data will be required as needs grow for proper analytics and availability. In many cases it may be
beneficial to retain ALL data in some systems such as maintenance management, in order to support capabilities such as
predictive maintenance. Archival and availability of data is user specific but best practices are not. Methods for purging,
storing, archiving and managing big data retention may be required. Additionally, looking at how often data is accessed
and consumed may help tailor retention policies.
Data Visualization
Better visualization tools that can work with the analysis tools against the databases are needed. Plug and play applications
are highly desirable. Some analytical tools used for big data are likely to be part of the analytical software, but their
flexibility or features may not be as advanced as the factory needs.
The following are the selected aspects of big data. Each describes a particular problem in relation to the scope of big data.
Production Tool Data
Production tools need to provide more data as data collection requirements increase for process control, traceability and
performance tracking applications which are used today as an integral part of manufacturing. Means to effectively export
data from the production tool are needed. A second data collection port on a tool may be the best option, although it comes
at a price because the interface may not use the protocol or data format used to collect other information from the tool. A
second port to export the data should not impact other operations on the tool while it is running. The I/O and CPU cycles
needed to collect and communicate with the tool must not impact the tool processing or intended use. Sensor Bus data
access and/or embedded health monitoring tool capabilities can decrease data collection to mitigate and reduce the amount
of data being collected and stored by the factory. Data from the equipment may need to be moved from inside of the factory
to other systems to allow other applications to consume the data in a safe and secure manner. Systems communicating with
the tool and distributing the data across the applications are likely to be affected by big data.
Network Issues
Network stress with big data often occurs when data is collected from multiple sources, in particular from the tools in the
factory. Usually, data is consolidated from different sources (facilities, maintenance, yield, etc.) such that it can be used for
analysis. Raw data is used to calculate values and requires context data to associate it to the right manufacturing and process
step, material, equipment used, etc. These issues have the potential to overload the existing networks and infrastructure
requiring special appliances to mitigate the network usage.

Table FAC13 Big Data (BD) Technology Requirements

5.8.4. AUGMENTING REACTIVE WITH PREDICTIVE AND PRESCRIPTIVE NEEDS


5.8.4.1. SCOPE
The scope of Augmenting Reactive with Predictive and Prescriptive (ARPP) is all FI technologies that can have a predictive
component. This section addresses the challenges and solutions associated with the augmenting of existing reactive
technologies with Predictive and Prescriptive technologies while retaining the reactive capabilities. These
predictive technologies include, but are not limited to, Predictive Maintenance (PdM), Equipment Health
Monitoring (EHM), Fault Prediction (FP), Virtual Metrology (VM), predictive scheduling, yield prediction and
augmenting predictive capabilities of the factory through simulation and emulation. The following definitions are
used for purposes of discussion in this document; these definitions should be replaced by standardized definitions
as they become available in the industry.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
38 Technology Requirements

• Predictive Maintenance (PdM) – Also referred to previously as Predictive and Preventative Maintenance (PPM)
and Prognostic Health Management, PdM is the technology of utilizing process and equipment state information
to predict when a tool or a particular component in a tool might need maintenance, and then utilizing this prediction
as information to improve maintenance procedures. This could mean predicting and avoiding unplanned
downtimes and/or relaxing un-planned downtime schedules by replacing schedules with predictions. PdM
solutions as defined herein address the entire maintenance cycle, from predicting maintenance through addressing
recovery from maintenance events towards returning to production. Note that PdM for equipment or a particular
equipment component could be managed at the equipment level or fab level, while other PdM activities require
fab level management.
• Prescriptive Maintenance—Specific preventive maintenance action(s) to perform that may include predefined
procedures or predictive maintenance recommended by an advanced notice model. Depending on the complexity
of the predefined procedures or the advanced notice model, the recommended preventive maintenance action(s)
may change based on the specific set of conditions.
• Equipment Health Monitoring (EHM) – The technology of monitoring tool parameters to assess the tool health as
a function of deviation from normal behavior. EHM is not necessarily predictive in nature but is often a component
of predictive systems.
• Virtual Metrology (VM) – (standardized definition from SEMI E133) is the technology of prediction of post
process metrology variables (either measurable or non-measurable) using process and wafer state information that
could include upstream metrology and/or sensor data.
• Fault Prediction (FP) – (standardized definition from SEMI E133) is the technique of monitoring and analyzing
variations in process data to predict anomalies.
• Predictive Scheduling – Is the technology of utilizing current and projected future information on tool and factory
state, capabilities, WIP, schedule, dispatch and orders to predict and improve scheduling of a system (tool, group
of tools, fab, etc.).
Yield Prediction – Is the technology of monitoring information across the fab (e.g., tool and metrology) to predict process
or end of line yield.
One common aspect of the SM vision is the movement from reactive to predictive to prescriptive operations in
manufacturing application environments. Solutions such as digital twins will contribute to providing the indications,
predictions, and prescriptions, respectively, in these environments. Thus, many solutions are expected to evolve from
providing reactive type capabilities, such as anomaly detection, to providing more predictive capabilities, such as PdM, and
eventually prescriptive contributions, such as recommendations for downtime avoidance. Note that while this general trend
will be ongoing in SM, there will always be a need for reactive and predictive capabilities. For example, there will always
be a need to detect anomalies that cannot be (accurately) predicted or avoided, and there will be a need for reactive systems
to reduce false negatives of predictive systems.

Figure FAC7 Illustration of the continuum of reactive, predictive and prescriptive technologies

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Technology Requirements 39

5.8.4.2. PREDICTION VISION


The prediction vision is a state of fab operations where 1) yield and throughput prediction is an integral part of factory
operation optimization; and 2) real-time simulation of all fab operations occurs as an extension of existing system with
dynamic updating of simulation models—this concept is often referred to as “digital twin” [11,3], (see also Digital Twin
section of this Smart Manufacturing subchapter). The prediction vision generally is the same for 300 mm and 450 mm
facilities and full implementation of the vision is expected to become a requirement for remaining cost competitive in both
facility types. Prediction capabilities will likely be required first and have more impact on certain tool types such as
bottleneck tools.
Achievement of this vision will place a number of requirements on the roadmap for all prediction technologies.
1. Roadmaps for each of the predictive technologies must be structured to support their eventual merging in terms of
sharing data and capabilities. This is because the prediction vision can only be achieved through the cost-effective
collaboration of all prediction technologies.
2. Prediction technologies should be structured wherever possible to be net value add. In other words, there must be
a clear understanding that the value provided by the successes of the prediction engine (e.g., correct predictions)
is larger than the cost associated with the failure of the prediction engine (e.g., missed or false predictions). This
in-turn requires that prediction solutions provide not only predictions, but indications of quality of predictions, and
prediction solution implementation must incorporate quality of prediction information into solution design and
optimization.
3. Prediction technologies must be structured to augment rather than strictly replace their reactive counterparts. This
is because prediction will never be 100% accurate or 100% comprehensive. The reactive capabilities should
complement the predictive capabilities by providing support to fab operations where prediction fails or is not
implemented, and by providing input to future prediction capabilities such as predictive models.
4. Prediction systems will include aspects of prediction from equipment. Equipment has access to information not
always available outside of the equipment or at the data rates that can be found inside of the equipment. Inside
equipment predictions or prediction information as available must be coordinated with outside equipment
prediction capabilities that have access to a much larger pool of data (types, archival length, process capabilities,
etc.). Further detail on inside-equipment prediction systems can be found in the Production Equipment section
(Section 5.3) of this chapter.
5. Data quality of systems must be improved to better support predictive capabilities. Data quality of existing systems
is a function of the requirements for these systems. Because these systems are (today) generally not designed with
prediction in mind, they do not always have the necessary data quality to support cost-effective prediction
capabilities. Examples include inaccurate human-entered and missing context data in maintenance management
systems, and insufficient archiving of data necessary to realize robust predictive models. The data quality issue is
especially true of maintenance management systems (e.g., with human data entry). A roadmap for improvement
of data quality of these systems to make them “prediction ready” is needed. Data quality guidelines and standards
such as SEMI E151 and E160 should be leveraged. See also the Big Data section of this Smart Manufacturing
subchapter for additional information on data quality (i.e., “veracity”).
6. Prediction solutions must be robust to support long-term application. The required accuracy of prediction solutions
is application dependent. Knowledge of prediction accuracy (the second requirement) is thus necessary for
determining robustness of the prediction engine. The prediction engine may need to be updated to support changes
in the application environment. Thus, a roadmap for successful application of prediction solutions necessarily
requires that these prediction solutions be robust to continuously adapt to their application environment. As part
of this requirement, methods will have to be developed to maintain robustness as prediction quality continually
improves and thus fewer “mistakes” (such as false positives or missed events) are available as feedback to update
the predictor; for example, if the predictor results in zero unscheduled downtime, it may be due to high accuracy
of the predictor or an overly conservative prediction.
7. Prediction solutions must provide predictions of required accuracy in a timely manner with respect to the
application for which they are being used. This means that the prediction engine can be constrained by a maximum
time for prediction (e.g., response time, or event occurrence), a required accuracy for prediction, or some
combination of time and accuracy. Prediction solutions will have to be designed to support configuration to these
constraints, depending on the application.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
40 Technology Requirements

8. Human expertise (e.g., SME) plays an important role in the reactive-predictive-prescriptive continuum. For
example, SME expertise can be a critical component of enhancing prescriptive capabilities.
9. The lifecycle of ARPP includes continuous improvement of the components to which the ARPP is being applied.
For example equipment design improvements can results from ARPP solutions which in-turn results in an
augmentation of those ARPP solutions.

The roadmap for application of the prediction technologies varies among tool types. Predictive scheduling will initially
focus on bottleneck tool types such as lithography where the benefit potential is high, however, longer term it will result in
coordinated predictive scheduling of all tool types. EHM can be applied to any tool type where FD data collection is
available, so the focus will be guided by need for health monitoring. VM focus has initially been on tool types where higher
quality models can be realized, such as CVD and etch. Initial VM is focused on its use as an aid in excursion detection
(ExD). This will be expanded to more widespread use to support “smart metrology” (SM), where real and virtual metrology
work together to maximize the effectiveness of metrology given cycle time optimization requirements. Longer term VM
will be used to augment process control, e.g., converting lot-to-lot control to W2W control, by providing predicted
metrology values to supplement both pre and post process metrology. Additionally, in the longer term, fab-wide approaches
to VM will be leveraged and prediction quality will be incorporated into VM application optimization. PdM will initially
focus on maintenance events that are costly, occur more frequently (so more events are available for developing/maintaining
models), and generate higher quality models; as with VM, longer term PdM will incorporate prediction quality in the
decision process allowing for more widespread application and adoption. Yield prediction will likely leverage many of the
same prediction technologies used for VM but will require coordination across the fab and improved data quality. As such,
this technology will likely not become widespread until successes with VM and PdM become more widespread. Initially
the focus will be on yield excursion detection (YEx) with root cause analysis via data mining (DM). Real-time simulation
of fab operations as an extension of existing system (i.e., digital twin) is a long-term vision that will necessarily require the
successful implementation of the individual prediction capabilities followed by their integration on a common prediction
platform.
5.8.4.3. TECHNOLOGY REQUIREMENTS
Achievement of the prediction vision places requirements in the individual prediction technologies as well as the
comprehensive prediction strategy.

Table FAC14 Augmenting Reactive with Predictive and Prescriptive (ARPP) Technology Requirements

5.8.5. ADVANCED ANALYTICS AND APPLICATIONS NEEDS


The highly complex and precise production environment in microelectronics has resulted in a heavy focus on analytics to
support enhancement of existing solutions such as FDC, and the realization of newer predictive solutions such as VM and
PdM. This industry is somewhat unique when it comes to the application of analytics because 1) equipment and processes
are highly complex, oftentimes with many interacting variables; 2) the cost of a false or missed positive (alarm) in applying
analytics can oftentimes be very high; 3) processes are highly dynamic with continuous drift and frequent shifts;
4) processes environment changes are associated with a relatively large number of context variables such as product/recipe
type and maintenance event type and time; 5) processes are often poorly visible with only a subset of the parameters
necessary to fully quality the process being available, and 6) the industry favors factory-wide re-usable solution approaches
versus point solutions. As a result, analytical techniques successfully applied in other non-microelectronics manufacturing
domains may not be directly transferrable to widespread use in microelectronics manufacturing. Specifically, purely data
driven or statistical techniques will continue to be less successful than techniques that incorporate SME in terms of process,
equipment and component knowledge relative to the analysis (see also section 5.8.9). Big data-friendly techniques such as
deep learning may have utility in highly complex environments such as wafer topography and defect mapping analysis
[13,14]. The progress of algorithms applied to specific problem analysis should be tracked especially where approach-to-
approach comparative analysis is provided. Algorithmic approach progressions in roadmaps should be assessed with respect
to dimensions of capability, e.g., as shown in Figure FAC8 [3].
Big data environments (see section 5.8.3) will allow for the application of these algorithms more efficiently over much
larger data sets. These environments will also encourage the development of more complex multivariate algorithmic
approaches for data quality improvement, partitioning/ordering, clustering and analysis. Much of this development will be

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Technology Requirements 41

pioneered in other industries. The relatively rapid evolution in this area will require analysis solutions that are modular to
support evolution, rapid prototyping and plug-n-play of analysis capabilities.

Figure FAC8 Example of Defining the Dimensions of Analytics Capabilities [3]

Figure FAC9 Illustration of Areas Where Algorithmic Approaches are Best Suited for Application [26].

5.8.5.1. TECHNOLOGY REQUIREMENTS


Achievement of the advanced analytics and applications vision places requirements in the individual analysis technologies
as well as the comprehensive advanced analytics and applications strategy.

Table FAC15 Advanced Analytics and Applications (AAA) Technology Requirements

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
42 Technology Requirements

5.8.6. DIGITAL TWIN NEEDS


5.8.6.1. SCOPE
A generally accepted definition of DT can be found in Wikipedia, namely “A digital twin refers to a digital replica of
physical assets, processes and systems that can be used for various purposes” [11]. If we break down this definition we
see that the scope of DT is more than just replicas or models of things, but also models of processes and systems. For
example, in addition to a duplication of the etch system configuration, a model of an etch application process, pump health
profile, or product flow at a detailed, or even high level, in the fab is considered to be part of the DT family. Assuming this
more complete definition of DT we can make the following statements on the state-of-the-art for DT in microelectronics
manufacturing:

• The microelectronics manufacturing industry is already successfully employing DT components fab-wide. Examples
include Run-to-Run (R2R) control, VM and PdM (described later in this subsection and in the ARPP subsection—
Section 5.8.4).

• The extraordinary scale and precision required to repeatably create microelectronic devices make microelectronics
manufacturing one of the most intricate and sophisticated manufacturing processes in the world, and we have had to
develop DT technology to meet our manufacturing needs. As such, our industry is arguably a leader in driving
aspects of DT technology advancement.

• The microelectronics manufacturing industry is beginning to explore and benefit from abstracting and combining
these DT components [20].

• The IRDS must maintain a realistic vision and roadmap for DT technology that will provide for improvements in
quality, throughput and reductions in variability and costs over the next 15 years.
5.8.6.2. DIGITAL TWIN STATE-OF-THE-ART AND VISION
Many fabs today are already at the forefront of the DT revolution, having pioneered many DT technologies and providing
testament to DT success. As shown in Figure FAC10 existing pervasive technologies, such as run-to-run (R2R) control
and real-time Scheduling and Dispatch (S/D), and emerging technologies such as Predictive Maintenance (PdM) and Virtual
Metrology (VM) are key members of the DT family [19].
The vision for DT is a framework of DT classes existing at all levels in the ISA-95 infrastructure (see Figure FAC10) with
benefits resulting from the utilization of DTs instances in isolation (e.g., R2R control of a process), but also in collaboration
with other instances in the same class (e.g., coordinated R2R controllers across chambers for improved chamber matching
[21]), with other classes (e.g., coordination of R2R control with scheduling/dispatch—S/D in order to incorporate yield
objectives into S/D decisions), and within and with other SM components (e.g., coordination of PdM predictions with
supply chain management). DTs can exist at any level, with much of the benefit from digital twins arising from the abstract
of DTs to higher levels and integration with other DTs. [19] The various classes within the framework will be developed at
different rates depending on need, level of technical challenge, influence from other industries, etc., and flexible DT
framework that supports interchange and interoperability of DT instances and classes will be a longer-term technical
challenge[22], [23], [24].
5.8.6.3. DIGITAL TWIN CLASS NEEDS
As noted above, the various DT classes (some of which are shown in Figure FAC10) will develop unevenly and largely
independent of each other, with consolidation and interoperability concerns addressed as instances of consolidation and
collaboration become more pervasive. As a result, the needs of the various DT classes are provided in this section in a
topical fashion.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Technology Requirements 43

Figure FAC10 Digital Twin representation from the perspective of the International Society of
Automation (ISA-95) Levels[19]

5.8.6.3.1. MODEL-BASED PROCESS CONTROL OR “R2R CONTROL”


R2R control is defined in SEMI E133 as “the technique of modifying recipe parameters or the selection of control
parameters between runs to improve processing performance. A ‘run’ can be a batch, lot, or an individual wafer.” From
the perspective of DT, R2R control determines the control parameters by maintaining a DT of one or more processes, with
the model being updated on a “R2R” basis using pre and/or post metrology information.
Control systems granularity will increase for capabilities such as run-to-run (R2R) control as the concept of a controllable
“run” evolves from a lot to a wafer (i.e., wafer-to-wafer (W2W) control) to within wafer (WIW) control. An example of
WIW control in this context is “shot-to-shot” control in lithography. Achieving this level of granularity will require that
equipment and metrology provide the necessary feed forward and feedback information in an equally granular fashion, but
also in a timely fashion. This is especially true for the feed forward component of control as controllers generally treat this
information as a disturbance rather than a component for model adaptation. Issues of throughput impact and reporting delay
of metrology systems will be addressed in-part by the augmentation of these systems with virtual metrology. These
augmented metrology systems will have to balance speed and quality to meet the requirements of the control systems;
reporting of measurement and predicted measurement quality along with measurement value will be required for
optimization of the consuming control systems.
There will be constant pressure on many control systems solutions to provide control advice at higher speeds (both in terms
of response time and frequency) in the face of increasing amounts of data to process (and other big data issues) and
increasingly complex control algorithms. Improvements in computing power will address this requirement to some extent,
however new control approaches will also be explored. One example is time-synchronized control, where the control

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
44 Technology Requirements

network is synchronized using capabilities such as NTP or PTP5, and the control capability utilizes this synchronization and
time stamping of data. Another example is just-in-time style control systems decision making where the controller is given
a time deadline for providing an advice and the controller determines the “best” advice given that deadline, thereby
balancing speed and quality for a particular application.
Another dimension of control system quality improvement will be the speed of control system solution delivery,
qualification and deployment, for both new systems and system updates. As an example, the move to event-based control
(e.g., business rules or control “strategies”) allows for the relatively easy addition of new “control rules” as new capabilities
are deployed. Modularity and “plug-and-play” capability (i.e., rapid and modular software exchange) of control algorithms
will allow for improved comparative analyses and more rapid deployment of control systems improvements.
Control systems capabilities will continue to improve in a number of common ways such as 1), addressing the big data
issues in control, thereby allowing for the use of more and higher quality data in control decisions, 2), development of
improved algorithms for control in general and for control related to a specific control task, and 3), movement to control
software architectures that allow for cost-effective enhancement of control capabilities.
A second dimension in which control capabilities will increase is in the area of mobile computing. This includes mobile
computing units (e.g., tablets) for monitoring and control of systems (e.g., maintenance logging) as well as mechanisms for
remote monitoring and control of systems (e.g., outside of the cleanroom). In both cases issues of security and safety must
be continually addressed. In both cases technologies not specific to microelectronics manufacturing will increasingly be
leveraged.
A third dimension in which control capabilities will increase is through the effective combination, capture, storage and
sharing/access of control system technology combined with process and equipment expertise. Control systems capability
improvement in many areas will increasingly rely on the collective use of control, equipment and process knowledge. For
example, statistical models for R2R control will, in many cases, be replaced by phenomenological model forms that are
stochastically tuned. Methods for capture and reuse of these capabilities and the associated knowledge will be developed.
Development of these methods will require addressing technical, standardization, and intellectual property issues depending
on the scope of re-use.
A fourth dimension in which control capabilities will increase is through improved methods of machine learning and
artificial intelligence: This paradigm in control systems architectures includes the enhancement of these systems so that
they can “learn” from the environment as reported through the data. Adaptive model-based control systems (e.g., R2R
controllers) may be thought of as learning from the environment; predictive control systems (see AA&A section of this SM
subchapter) might also be thought of as learning to some extent as predictive models are tuned. It is expected that more
comprehensive learning techniques will be explored and applied to control systems architectures; these techniques and their
application will likely be a trend observed across manufacturing in general with microelectronics manufacturing following
this general manufacturing trend.
5.8.6.3.2. PREDICTIVE MAINTENANCE (PDM) AND VIRTUAL METROLOGY (VM)
PdM and VM are DTs respectively of a component or equipment’s health, and a metrology system. As both of these DT
classes utilize predictive technologies their technology needs are discussed in detail in the ARPP sub-section of this SM
section.
5.8.6.4. TECHNOLOGY REQUIREMENTS
Achievement of the DT vision places requirements in the individual DT technologies as well as the comprehensive DT
strategy.
Table FAC16 Digital Twin (DT) Technology Requirements

5.8.7. INDUSTRIAL INTERNET OF THINGS AND THE CLOUD NEEDS


5.8.7.1. INTRODUCTION AND SCOPE:
The exponential reduction of cost per unit functionality inherent in Moore’s Law has brought us to the point where it is now
possible to heavily instrument industrial systems using very large numbers of sensors. These ‘cyber-physical systems’ are

5Networked Time Protocol and Precision Time Protocol: Please see SEMI E148—Specification for Time Synchronization and
Definition of the TS-Clock Object

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Technology Requirements 45

capable of producing data at a scale and resolution that enable us to observe our processes in an entirely new way, opening
up new opportunities to optimize for efficiency, productivity, performance, quality, innovation and compliance.
To benefit from this Industrial Internet of Things, we have to negotiate two significant challenges.
Firstly, we have to harness the new volume and velocity levels of data and capture its output over time to create a dataset
large enough to reveal statistically valuable trends using highly scalable analytical processes combined with a knowledge
network in the Cloud. This “cloud” could range from a secure set of internal servers to an off-site third-party maintained
cloud service; the concept of consolidating the data in a location for improved data consolidation (variety) and centralized
processing (value) is a trend that will increasingly be leveraged. Once we have identified patterns of interest, however, the
sheer volume and rate of the data involved precludes us from leveraging it centrally due to inherent bandwidth and latency
constraints. Additionally non-performance factors such as security or the simple fact that the solution does not require
complex (breadth or depth) analysis may render a cloud solution a non-optimal approach.
Thus, our second challenge is to embed knowledge of these patterns within smart devices located close to the source of the
data, at the so-called ‘edge’, such that they can enhance the operation of existing control systems at the appropriate pace.

In this section, we will consider the technology drivers for IIoT trends, and some of the technical challenges to realizing
these trends.
5.8.7.1. IIOT AND CLOUD DRIVERS
There are at least two drivers for IIoT framework of a central “cloud” and edge devices:
1) the perceived need to collect more signals from a wide variety of sensors, and
2) a growing need to have more distributed computing and storage capabilities.
For the first driver the reality is that, despite the industry’s best efforts, traditionally available equipment and process
parameters cannot explain all observed yield losses. The hope is that, by collecting this extra information, previously hidden
correlations between wafer yield loss and tool or process parameters will become clear. Although local solutions and
implementations exist across the industry, parameters and sensors that are currently not generally incorporated into
equipment monitoring, process monitoring and data analytics platforms include vacuum gauges, mass flow controllers,
valve position sensors, pressure transducers, thermocouples, residual gas analyzers, vibration measurements etc. The data
quantity, quality and frequency these different sensors and analysis devices provide can vary enormously. Some only
provide a few basic voltage levels as output, and both capture and translation are required. Others provide large data files
in an industry standard format, ready to be communicated over existing networks. When required, edge devices can
simultaneously offer a solution for capture, communication and integration. What is clear is that different types of edge
devices will be needed to match to these different types of sensors.
The second driver, i.e. the growing need for distributed compute and data storage power, is mainly to share the load, rather
than some intrinsic value of physical proximity. The latter is often driven by trivial connection requirements and challenges
as much as other reasons. Irrespective of whether the IIoT will add many more devices, collecting data from the existing
sensors may already provide a challenge. Depending on the parameters the required computing power can easily overwhelm
a central system as well as the communication networks that connect both. Some sensors, such as thermocouples for
instance, probably generate much less data than vibration measurements where analog vibration spectra and subsequent
FFT analysis requires significant storage and compute capacity.
Although the fab traditionally is very unit process focused, integration of such edge devices sensor data (and indeed all
data) across different tool makes or even processes may reveal more holistic underlying causes of yield issues of a fab as
an interconnected system. This may require the development of industry standards to address issues of data exchange IP,
user interface, look and feel etc.
5.8.7.2. IIOT AND CLOUD TECHNICAL CHALLENGES
5.8.7.2.1. DETERMINING THE DISTRIBUTION OF INTELLIGENCE BETWEEN THE CLOUD AND EDGE DEVICES
A key technical challenge in the IIoT and Cloud roadmap is determining and quantifying the metrics that drive the decision
process of providing a solution component at the edge or in the cloud, and identifying potential collaborations of
components at the edge and in the cloud that would facilitate more effective solutions.
Key metrics that currently drive this decision process are shown in Table FAC17. Technical challenges include quantifying
these metrics and their interactions and evaluating solutions with respect to these metrics. Potential solutions include

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
46 Technology Requirements

technology improvements, standards, best practices, etc., that will improve the metrics for both edge and cloud devices, but
also improve the capability for seamless interaction to deliver improved collaborative solutions.

Table FAC17 Key metrics that currently drive the cloud versus edge-device decision process for
placement of solution components
Item Edge solutions Cloud solutions
Data access Generally limited to edge device location. Very high levels of data access from multiple levels.
Lower due to communication times to/from cloud,
Generally high and oftentimes a
security levels, data management delays, etc.
Performance motivational factor for choosing an edge
However significant resources are being applied
solution.
across industries to improve response times [XXX]
Edge devices tend towards lower
Cloud solutions are capable of supporting highly
Algorithmic complexity complexity higher response time
complex and diverse algorithmic environments.
algorithmic environments.
A technical challenge (see discussion below and in
Higher and oftentimes a motivational
section 5.8). Considerable efforts are being made
factor for choosing an edge solution.
across industries to address this issue via standards
Security Solution components that contain a high
and technical innovation [XXX]. Solution
level of IP are often motivated to be
components or solution application environments that
delivered as edge devices.
have a high level of IP are oftentimes cloud-adverse.
Provided by suppliers with higher SME in Generally focused on solutions that are more data
the particular-application area, or for intensive and require lower amounts of SME.
solutions that require a high level of SME Solutions or solution components that are repeatable
Business model
integration. Solutions that require a and reusable also tend towards the cloud as well as
hardware component (e.g., novel sensor) solutions that are analytic with no directly associated
will also tend towards edge devices. hardware component.
Important cost factors are oftentimes
Cost factors are often associated with security (data
Cost hardware, hardware integration, power
access, data partitioning, IP) and operational practices.
delivery, hardware invasiveness, etc.
Summary: Technical Breadth of data access, hardware costs,
Security, performance.
challenge areas analysis power.
Summary: Potential solution Integration standards, performance Security standards and solutions, performance
areas metrics, integration with cloud. metrics, integration with edge devices.

5.8.7.3. TECHNOLOGY REQUIREMENTS


Achievement of the IIoT and the Cloud vision places requirements in the individual prediction technologies as well as the
comprehensive IIoT and the Cloud strategy.

Table FAC18 Industry Internet of Things (IIoT) and the Cloud Technology Requirements

5.8.8. INTEGRATED SUPPLY CHAIN NEEDS


Factory performance depends on overall deviation control of all factors (man, machine, material, method). The factory is
part of the overall supply chain (see Supply Chain Operations Reference—SCOR model in Figure FAC11) 6 . Besides
continuous improvement of factory deviation control to improve manufacturing performance, there are other sources of
deviation from the overall supply chain into factory that also require good control to reduce the deviation and improve the
complexity management. There are several key parameters that should be considered in the control formulation; the
inclusion of these parameters in the control does not necessarily mean that these factors are under control:

6One source of information on SCOR is the APICS Supply Chain Council, which “advances supply chains through research,
benchmarking, and publications”. www.apics.org

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Technology Requirements 47

1. Demand Forecast Deviation: the demand is considered the beginning of the downstream supply chain; forecast of
demand is not easy to determine because the demand has high complexity. Even if we could define the measure to
detect the deviation of demand forecast, there are no known methods to assure quality of demand forecast.
2. Demand Deviation: The microelectronics factory operating near capacity requires a long lead time for preparation
and qualification of equipment and processes. Demand deviation can thus result in extra cost associated with lost
capacity. The complexity of demand change control depends on the scale of overall supply chain. Having more
suppliers or customers for any given factory will increase the complexity and further increase the difficulty of
controlling the demand. There can be no automatic control of demand deviation; the factory role is to provide a
better response to this deviation.
3. Supply Chain Operation Deviation in the downstream supply chain: Microelectronics devices could be packed into
high-value added packages and installed into higher-value product. For example: the chips could be packed into
the insulated gate bipolar transistor (IGPT) modules and finally installed into electric cars. Any deviation from the
supply chain will cause high cost. To assure good control of these deviations, the traceability of these chips (in the
downstream supply chain), components and final product are important. It requires a robust FI system to
automatically collect, store, and trace all supply chain operations.
4. Supply Chain Operation Deviation in the upstream supply chain; It is important to maintain traceability in the
upstream supply chain to manage part and consumable inventory as well as quality, for improved production
quality, reliability, and yield.

Figure FAC11 Supply Chain Model SCOR


Also, key parameters in the factory are required for feedback to the supply chain for robust operation:
1. Delivery accuracy: To ship the right product at the right time to customer is a commitment from the factory.
Deviation of delivery will result into loss of revenue and cost of production. With the cumulative knowledge in
the microelectronics industry, it is simpler to maintain good delivery accuracy if an extra buffer is reserved or good
manufacturing system is in place to reduce all manufacturing deviations. One form of solution is to have real-time
predictive delivery schedule for all order from real-time operation status from factory.
2. Cycle time: Good cycle time control will increase the control capability of both supply chain and factory. The
factory cycle time results from overall factory operation performance for all output. Good (matched to plan) factory
cycle time does not necessarily imply good delivery accuracy, but good cycle time mean does imply relatively
stable operation according to the original plan. Supply chain optimization does not require detailed information on
the material process cycle time inside the factory, but only the overall factory cycle time to support supply chain
delivery demand (requirements or estimates). New device introduction can have special supplier demand
requirements. The complexities are increasing with higher product mix and tighten production specifications. Real-
time dynamic cycle time integration will improve factory operation to support supply chain delivery demand
requirements.
3. Yield: High yield is a basic objective for products shipping to the supply chain. The complexity of yield is very
high and subject to strong deviation as this control depends on the production process capability. There are many
controls put in place for the machines—daily monitoring, maintenance, FDC; material—inspection, metrology;
man—training, certification, operation procedure, scheduling and dispatching. Although these controls are not
directly incorporated in supply chain calculations their operation does impact the supply chain thus the control

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
48 Technology Requirements

objectives will be impacted by the supply chain in the future. The real-time integration of product yield data will
also help improve the responsiveness of the supply chain.
5.8.8.1. TECHNOLOGY REQUIREMENTS
Achievement of the integrated supply chain vision places requirements in the individual prediction technologies as well as
the comprehensive integrated supply chain strategy.

Table FAC19 Integrated Supply Chain (ISC)Technology Requirements

5.8.9. KNOWLEDGE NETWORK NEEDS


5.8.9.1. INTRODUCTION AND SCOPE
As we more fully embrace smart manufacturing (SM) strategies and environments, analytic solutions for the
microelectronics manufacturing ecosystem are becoming more complex, precise, robust (from a control system definition
perspective), granular, and more integrated, leveraging information from larger and more diverse data sources. These
analytics, ranging from tool-level fault detection to end-of-line yield and supply chain analysis, are evolving in large part
to support emerging prediction solutions such as predictive maintenance, but also to improve fundamental existing
capabilities such as run-to-run control and fault detection, and to enable new capabilities such as supply chain optimization
as well [2,3].
Given the complexity of environments and solutions there is an increasing trend to attempt to rely too heavily on the data
and not enough on the available domain information. Increasing available, extensive, and higher performance open analytics
platforms and the increased emphasis on data analyst expertise across industries. There is a corresponding bias to try to
solve problems purely with analytics, i.e., without understanding the application environment, leveraging domain expertise.
This “data-only” approach to solutions generally does not work in the microelectronics manufacturing environment [25].
As detailed in [3], the microelectronics manufacturing ecosystem is characterized by highly complex equipment operating
in a very dynamic environment with a large number of context shifts (e.g., recipe changes and PMs). As a result, as part of
the analysis process, large stores of data often have to be broken into much smaller clusters that relate to particular situation
and context environment. The “Big Data” benefit quickly disappears.
As shown in Figure FAC12, experts, each with subject-matter-expertise (SME) in a variety of areas including equipment,
process and applications, can provide a knowledge network to augment analytics and provide more optimal, robust and
usable solutions.
The scope of the Knowledge Network SM section is to provide a roadmap for knowledge networks that will allow them to
interact in a complementary way with analytics, with the ultimate goal being than no information is unavailable or unusable
in solutions. This scope includes, but is not limited to:
• Identifying different types of SMEs and how they interact as well as challenges and potential solutions for securing
and maintaining this knowledge network.
• Identifying points of interaction between analytics and SMEs
• Identify challenges and potential solutions towards a more automated and optimal scheme for interaction between
analytics and the knowledge network.
5.8.9.2. HIGH LEVEL VISION
The key aspects of the knowledge network vision for SM are:
• SME is required in effective fab analytics;
• SME needs to be mapped into the project plan and/or workflow of events; and
• Analytics and SME must be incorporated so that “no knowledge is left behind”.
Figure FAC12 provides an illustration of the latter points. Note that that there are different types of SME that must work
together with analytics in an effective application, and that the interplay is structured and automated. Deep SME’s have
detailed knowledge about a particular facet of the project, such as the modes of failure of a component in a predictive
maintenance (PdM) project, but it is important that these SMEs be coordinated across the project workflow by a broad
coordinating SME that has (1) general knowledge of the entire project and (2) understands the nature of the expertise of the

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Potential Solutions 49

extreme SMEs that are (or need to be) on the team. The knowledge network vision includes seamless integration between
human SME resources and cyber resources such as analytics and workflow systems. This integration includes bi-directional
communication where each resource can accept and processing knowledge from another resource at any time, as well as
knowing when information is needed from another resource and asking for that information. This vision will especially
require addressing technical challenges in the analytics to SME structured collaboration space.

Figure FAC12 Illustration of how SME expertise is incorporated into the knowledge network. [23]
5.8.9.1. TECHNOLOGY REQUIREMENTS
Achievement of the knowledge network vision places requirements in the individual knowledge network technologies as
well as the comprehensive knowledge network strategy.
Table FAC20 Knowledge Network Technology Requirements

6. POTENTIAL SOLUTIONS
The principal goals of factory integration are maintaining cost per unit area of silicon, decreasing factory ramp time, and
increasing factory flexibility to changing technology and business needs. The difficult challenges of 1) responding to
complex business requirements; 2) High potential of waste generation and inclusion in factory operations and resources due
to the high operation complexity; 3) managing the high factory complexity; 4) meeting factory and equipment reliability
needs, 5) meeting the fab flexibility, extendibility, and scalability needs; 6) meeting the complex process and its control
requirements for the leading edge device at production volumes; 7) comprehending ever increasing global restrictions on
environmental issues; 8) preparing for the emerging factory paradigm and next wafer size must be addressed to achieve
these goals. Potential solutions are identified for Factory Operations, Production Equipment, Material Handling Systems,
Factory Information and Control Systems, and Facilities. Note that the bars containing wafer diameter data represent
potential solutions that are wafer-size specific.
Potential solutions are shown as “Research required,” “Development underway,” “Qualification/pre-production,” or
“Continuous improvement”, coded in potential solutions tables as shown below. The purpose is to provide guidance to
researchers, suppliers, and IC makers on the timing required to successfully implementing solutions into factories. In some
cases, the IFT determined that there is insufficient information either in the IFT or in the industry in general to provide
specifics on the timing of a potential solution; in these cases, the code “To be determined” is used.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
50 Potential Solutions

Research Required
Development Underway
Qualification/Pre-Production
Continous Improvement
To Be Determined (TBD)
As the industry develops potential solutions, they often reach a point of continuous improvement and capturing their
progress in a potential solutions roadmap is not necessary. However, in many cases it is still important to describe these
solutions from an educational perspective. These solutions are captured in Table FAC21 delineated by functional area.

Table FAC21 Stabilized FI Potential Solutions with Description


Functionality Year of Justification to regard the item
Solutions
areas entering CIP "educational"
Factory To provide direct support for experiment
The solutions are available though not
Operations (FO) design and management associated with NEW 2019
standardized
Product Introduction (NPI)
Factory system implementation for
equipment performance visualization and The solutions are available though not
2019
tracking in order for productivity standardized
improvement
Production Seamless cascading multiple recipes within Viable solution to improve utilization but
2016
Equipment (PE) a carrier over lots cannot be called "potential" solution anymore.
Important solution for keeping cycle time as
Hot-wafer take over capability on the short as possible for critical reasons (e.g., send
2015
production tool ahead metrology, important sample) but
cannot be called "potential" solution anymore
On-tool wafer sorting between carriers in Having been realized where it is necessary,
2017
select tools or at least known as viable solution.
Process quality improvement through APC
(including R2R control, FDC, SPC and Fault APC has been implemented for some time.
Prediction) as a design-in requirement; the 2011 There is a lot of room for improvement but it
APC that is leveraged could be inside or is not "potential" solution anymore.
outside of the equipment
Equipment functional verification through Dashboard function for equipment
techniques including fingerprinting and 2017 fingerprinting and health data becomes
equipment health monitoring prevalent.
Capability to limit utilities and electric
power consumption during equipment idle
Standards to support equipment sleep mode
periods; includes management of equipment 2017
coordination with FICS became available.
"energy saving mode" without impacting
throughput or quality
To make energy efficient design an
There is a SEMI Standard that provides
important equipment design metric, and waste
2015 metrics for equipment energy efficiency. It can
reduction equipment design and operation
also be used to evaluate utility use efficiency.
performance metrics
Automated Physical interface Standards Suite for
Material AMHS and 450 Carriers/production
Handling equipment had completed and stabilized. It is
Systems(AMHS) 450 mm Interface standards development 2016 expected, however, once 450mm systems
implementation for quantitative production
starts the Standards Suite may need some
more revisions.
This solution is not wafer diameter
Uninterrupted software upgrade 2017
dependent and has already been available.
This solution is not wafer diameter
Visualization of AMHS information 2017
dependent and has already been available.
Factory Cross-module supervisory Run-to Run Have already been implemented for critical
2015
Information & process control processes

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Potential Solutions 51

Functionality Year of Justification to regard the item


Solutions
areas entering CIP "educational"
Control Systems Standards-based equipment data collection
Have already been implemented for critical
(FICS) for process monitoring and diagnostics via 2015
equipment
EDA interface
Data Quality and Factory-wide standardized
2015 Standards are available
time synchronization
Integrated FICS to facilitate data searches
Correlation is possible but speed can be
and information correlation on process and 2015
improved
operational data
Integrated FICS to support cross-site
2015 already been implemented
processing
Facilities SEMI E6 and other Standards are available
Early and accurate identification of
to specify and communicate production
production equipment installation demand From
equipment installation demand between
requirements for base build construction (e.g. 300 mm tools
supplier and user. Without quantifying how
pressure, loads, flows, connection size)
early or accurate these cannot be solutions.
Security Establishment and Operation of Security
Fabs already implemented security
Audit, Assessment and Incident Management 2018
management system but are in needs of CIP
Processes
SM-Big Data
(BD) Volume--No Entries

Generally solved and in the CIP space. There


Velocity--Solutions to support peak equipment
are restrictions in the wireless space (Zigbee,
and peak factory data transfer rates for off-line 2019
etc.), 5G problems with line of site, etc.; IIoT
data analysis
funneling to the higher levels is an issue.

Variety-- No Entries

Veracity-- No Entries

Value-- No Entries

Capabilities exist and implementation is not


Other-- Fab-wide big data ecosystem 2019
a technology issue.

SM-Augmenting
SEMI E133 addresses this at a basic level.
Reactive with Standards for virtual metrology capabilities
2019 Additional standards will likely be developed
Predictive and interfaces
as part of CIP.
(ARP)
VM capabilities for excursion detection will
continue to improve as model accuracy and
Re-usable VM methods for excursion
understanding of that accuracy improve, as
detection to support across- fab 2019
VM is used for other purposes, and as VM
implementation
standards continue to be developed and more
widely implemented
Standard to support Lot-based, real-time
Revision to SEMI E87 and a new Standard,
predictive scheduling and dispatching 2019
SEMI E171 support predictive scheduling
algorithms integrated with AMHS

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
52 Potential Solutions

6.1. FACTORY OPERATIONS POTENTIAL SOLUTIONS


The Factory Operations potential solutions are classified into planning Decision Support (DS) tools at the strategic level
and tools for running the factory at the tactical or execution level. The solution components for these two levels are quite
different but are essential in order to manage high-mix factories effectively. The tactical tools need quick access to
transactional data whereas the DS tools need large sets of data with several analysis/reporting options.
Successful determination of where, when, and in what quantities the products are needed is essential for improving
manufacturing productivity. The cost of capital equipment is significantly increasing and now constitutes more than 75%
of wafer fab capital cost and via depreciation a significant fraction of the fixed operating costs as well. Reducing the wafer
costs requires improvements in equipment utilization, availability, and capacity loss due to set up, tool dedication, etc.
Effective factory scheduling also plays a key role in improving equipment utilization and it also leads to improved cycle
time and on-time-delivery. A real-time predictive scheduling and dispatching tool integrated with AMHS and incorporating
predictive maintenance (PdM), preventive maintenance (PM) scheduling, Equipment Health Monitoring (EHM) and
resource scheduling policies are required to reduce WIP, improve on-time-delivery, and improve capacity utilization.

Figure FAC13 Factory Operations Potential Solutions

6.2. PRODUCTION EQUIPMENT POTENTIAL SOLUTIONS


The PE interface with factory is expected to facilitate such factory operations such as Just-In-Time (JIT) or Deliver-On-
Time (DOT) operation of carriers for seamless processing, coordination of APC capabilities such as Fault Detection and
Run-to-run Process control both inside and outside of the tool, wafer or lot processing queue manipulation for hot lot
handling, energy management within the equipment as well as part of the entire fab infrastructure, and, increasingly,
predictive capabilities such as predictive scheduling, predictive maintenance (PdM) and Virtual Metrology. This will
require production of more and more equipment information and increasingly higher rates including equipment state

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Potential Solutions 53

information, designs that accommodate control information and recommendations from external sources, and adherence to
SEMI standards for data communication as well as state representation.
For the same type of recipes in which the same process resources are used almost for the same process settings the PE
should behave as it is processing wafers under the same process recipe so to keep the seamless processing. This requirement
implies that the PE needs to be capable of understanding the contents of the recipes, or, that the factory system sends a flag
to PE to make PE accept any recipe without any NPW operations. More discussion is required to understand the requirement
of such control and implementation methodology.
It is noteworthy that many operation controls become heavily dependent on scheduling in order to reduce WIP, to facilitate
reasonable scheduled maintenance of PE, and, to gain flexibility against unexpected events in the fab. Predictive scheduling
will become an integral part of equipment operation to optimize scheduling and reduce wait-time waste. It is also noteworthy
to highlight that process controls need to become more model-based for higher reusability and to reduce the engineering
burden and time consumption. Equipment should be designed with APC in mind. In some cases, this will mean that APC
will be an integral part of the delivered tool solution, while in other cases it will mean that the equipment is produced to be
“APC ready”, provide the necessary timely data and allowing the appropriate control to support APC. Research can be
better focused toward the innovations required to achieve these objectives.
The movement to 450 mm as well as movement to new process materials will present challenges. The movement to 450 mm
should not result in a reduction of any operations or product quality metrics.
Just as with the fab in general, equipment operations will gradually evolve from reactive to reactive augmented with
predictive and prescriptive operations. This is discussed in detail in the ARPP section. Corrective maintenance will be
augmented with predictive and prescriptive maintenance. Fault detection and scrap reduction shall be augmented with fault
prediction and scrap avoidance. Reactive scheduling shall be augmented with predictive scheduling. Metrology will be
supplemented with virtual metrology. This change in mindset shall have an impact on equipment design and operations.

Figure FAC14 Production Equipment Potential Solutions

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
54 Potential Solutions

6.3. MATERIAL HANDLING SYSTEMS POTENTIAL SOLUTIONS

Figure FAC15 Material Handling Systems Potential Solutions

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Potential Solutions 55

6.4. FACTORY INFORMATION AND CONTROL SYSTEMS POTENTIAL SOLUTIONS

Figure FAC16 Factory Information and Control Systems Potential Solutions

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
56 Potential Solutions

6.5. FACILITIES POTENTIAL SOLUTIONS

Figure FAC17 Facilities Potential Solutions

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Potential Solutions 57

6.6. SECURITY POTENTIAL SOLUTIONS

Figure FAC18 Security Potential Solutions

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
58 Potential Solutions

6.7. SMART MANUFACTURING POTENTIAL SOLUTIONS


As noted in Section 5.8.2, the scope of smart manufacturing (SM) can be organized into a set of common themes or
tenets. In this section the potential solutions are presented of each of the SM tenets described in Section 0.
6.7.1. BIG DATA POTENTIAL SOLUTIONS

Figure FAC19 Big Data Potential Solutions

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Potential Solutions 59

6.7.2. AUGMENTING REACTIVE WITH PREDICTIVE AND PRESCRIPTIVE POTENTIAL SOLUTIONS

Figure FAC20 ARPP Potential Solutions

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
60 Potential Solutions

6.7.3. ADVANCED ANALYTICS AND APPLICATIONS POTENTIAL SOLUTIONS

Figure FAC21 AAA Potential Solutions


6.7.4. DIGITAL TWIN POTENTIAL SOLUTIONS

Figure FAC22 DT Potential Solutions

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Potential Solutions 61

6.7.5. INDUSTRIAL INTERNET OF THINGS AND THE CLOUD POTENTIAL SOLUTIONS

Figure FAC23 IIoT and the Cloud Potential Solutions

6.7.6. INTEGRATED SUPPLY CHAIN POTENTIAL SOLUTIONS

Figure FAC24 ISC Potential Solutions

6.7.7. KNOWLEDGE NETWORK POTENTIAL SOLUTIONS

Figure FAC25 KN Potential Solutions

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
62 Cross Teams

7. CROSS TEAMS
FI technology requirements are often driven by other IFT requirements as shown in Figure FAC1. In order to understand
the crosscut issues fully, the FI IFT interfaces with the other IFTs and puts together a list of key crosscut challenges and
requirements as shown in Table FAC22, delineated by IFT area. This is followed by a discussion of interactions with
specific IFTs or interactions around specific issues. FI will continue to address these key crosscut challenges and
requirements.
Table FAC22 Crosscut Issues Relating to Factory Integration
Crosscut Topic Counterpart IFT(s) Factory integration related key challenges

Front end Process More Moore [Common across counterparts IFTs]


(FEP) Beyond CMOS Facility to support material (e.g., chemical distribution to abatement systems) and
Outside System production environment (e.g., AMC, ESD) for novel device structure including
Connectivity materials used in them.
FICS to support increased number of parameters, detailed controls with FB from
metrology and other support tool and systems.

ARP will impact all FE process in some way; coordinate roadmaps to make sure
FEPs are moving toward "prediction ready", e.g., by providing necessary data

Novel devices and their production processes may require more extensive data
collection and its utilization for improvement of process performance. Getting to
know FEP's BD data requirements (identification of 5V) is prerequisite for
preparedness of BD system
Back end Process More Moore [Common across counterparts IFTs]
(BEP) Beyond CMOS FICS for backend may need optimization including customization of supporting
Outside System standards to allow full integration of data through processes (i.e., FEP-BEP
Connectivity integration)
Packaging
Integration Tests needs (sampling rates and frequency) must be optimized by utilizing BD
based analytics; BEP may impose higher data rate and bandwidth requirements in
FICS
Better integration between BEP and FEP will be required both to meet device
design requirements and to achieve yield and productivity objectives

Lithography Lithography Continuing to understand EUVL (power, consumables) requirements from FI


perspective; completely different factory design is expected.

Fast reticle change; reticle storage issues and reticle buffering to support
practical cycle time (especially for small lots operation)

Facility to support production environment (e.g.., AMC, ESD) for reticle and
tighter process control needs.
Predictive scheduling is important to lithography as it is often the critical process
to maintaining overall throughput of the Fab.

Lithography DFM needs. EFM may be added as it is confirmed as mask quality


detractor.
Sustainable ESH ESH/S to identify required Fab/equipment capabilities to measure resource
Manufacturing consumption and release/emission to environment.
Green Chemistry
FI to provide necessary metrics/methodology/data systems/infrastructure to
accommodate ESH objectives
Real and Virtual Metrology Comprehensive metrology roadmap to be jointly defined.
Metrology

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Cross Teams 63

Crosscut Topic Counterpart IFT(s) Factory integration related key challenges

Virtual Metrology is an emerging cross-cut issue. The role of VM in metrology


will be increasing; VM may become an integral part of some metrology offerings.
Metrology capabilities will become part of the prediction engine input (e.g., for
throughput projections) and output (e.g., for VM tuning).

Metrology requirements on ESD and EMI could impact Facility targets

Yield Yield Facility/PE needs to contemplate necessary capabilities for supporting YE


Enhancement Enhancement related requirements at different interface points (i.e., POS, POD, POC, POE, POU,
POP)
Facility need to support AMC, temperature, humidity and other environment
controls as required by YE
FI and YE to discuss opportunities to optimize tests (frequencies, condition to
do/skip test) by utilizing BD and predictions
YE to benefit from yield excursion detection and analysis and ultimately yield
prediction provided as part of FICS, ARP and BD capabilities.
FI would contain the characterization, Inspection and analysis (CIA) overview
and point to sections in FI that provide details; YE would not have detailed CIA,
but would rather reference the section in FI
FI would identify approaches in the FI Chapter along with technical challenges
and potential solutions
Big Data All Big data and prediction requirements and solutions will impact and provide
solutions for Test.

Big data and associated enabling of prediction technologies and advanced


analytics will allow for improvement of and innovation in YE techniques,
metrology and real + VM metrology capabilities, and better integration of FEP and
BEP.
Big Data and its better enabling of prediction and other analytics will improve all
aspects of FI allowing for realization of production capabilities to support emerging
production requirements from IFTs such as More Moore and Beyond CMOS

7.1. ENVIRONMENTAL, SAFETY, HEALTH, AND SUSTAINABILITY (ESH/S)


7.1.1. SCOPE
The Environmental, Safety, Health, and Sustainability (ESH/S) activities, strategies and vision has an aim of projecting the
principles of a successful, sustainable, long range, global, industry-wide ESH/S program. Execution remains largely
independent of the specific technology thrust advances to which the principles are applied. Thus, many ESH/S Roadmap
elements, such as the Difficult Challenges and the Technology Requirements, feed directly into the other IFTs in the IRDS,
notably Factory Integration. The six basic and overarching ESH/S Roadmap strategies are:
• To fully understand (characterize) processes and materials during the development phase;
• To use materials that are less hazardous or whose byproducts are less hazardous;
• To design products and systems (equipment and facilities) that consume less raw materials and resources;
• To make the factory, and fundamental industry supply chain safe for employees and the environment;
• To provide clear global ESH/S perspective in regard to new materials, sustainability and green chemistry;
To provide proactive engagement with stakeholder partners and customers and reset strategic focus on the roadmap goals.
By applying these six core strategies as the essential elements to success, the Microelectronics Industry continues to be an
ESH/S leader as well as an overall technology leader. Microelectronics manufacturers have adopted a business approach to
ESH/S which uses principles that are deeply integrated with factory manufacturing technologies, supply chain, products,
and services. Product Lifecycle and Green Chemistry outlines are added for 2015.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
64 Cross Teams

ESH/S and Factory Integration Synergy


In aligning with the industry trend towards tighter integration of ESH/S and FI activities, the ESH/S IFT contributes this
section in the FI chapter. The reader is encouraged to refer back to the full ESH/S chapter when reading this section to
understand the full ESH/S roadmap.
The increased synergy between ESH/S and FI efforts can be summarized with the following ESH/S strategies:
• The roadmap process will continue to quantify factory environmental factors
• Roadmap will include new materials, sustainability and green chemistry
• Provide proactive engagement with stakeholder partners and reset strategic focus on the roadmap goals.
Continue focus on factory, and supply chain safety for employees and the environment
Sustainability
Manufacturers are increasingly taking a proactive approach to sustainability, working to minimize the effect of
manufacturing operations on the environment. Drivers include:
• Employees increasingly want to work for companies that are good stewards of the environment.
• Customers want to buy product from environmentally friendly companies.
• Global regulatory limits and reporting requirements are likely to continue to tighten.
A good example is the IPCC GHG Emissions Guidelines; 2019 Refinement of 2006 Document. This document includes
many emission factors changed since 2006, including Volume 3 Chapter 6, which refers to the semiconductor, FPD and PV
industries : http://www.ipcc-nggip.iges.or.jp/home/2019refinement/sod.html.
An important aspect of sustainability is data collection, i.e., insuring that we have the right sensors, monitoring, tool trace
data, SCADA, etc., and associated analytics to meet environmental reporting requirements, and drive informed decisions
on environmental footprint-reduction opportunities. In the facilities area factory construction is increasingly incorporating
environment new buildings seeking accreditation in LEED, Greenmark, etc.
7.2. YIELD ENHANCEMENT
7.2.1. SCOPE
Development of good yield management strategies reduces costs and investment risks. A factory yield model defines typical
operational performance and permits a Pareto of performance and yield detractors. A factory model based on experimental
mapping of process parameters and process control strategies reduces the need for increased metrology tools and monitor
wafers. It is also critical to determine tolerance variations for process parameters and interactions between processes to
reduce reliance on end-of-line inspections. Factory models should also be capable of handling defect reduction inputs to
assure efficient factory designs for rapid construction, rapid yield ramp, high equipment utilization, and extendibility to
future technology generations. Temperature and humidity metrics alone with AMC requirements will be jointly worked out
by Factory Integration and Yield IFTs.
Over the longer term yield prediction will be utilized along with feedback to factory systems such as scheduling/dispatch,
maintenance management and process control to provide for better control to yield and throughput objectives. Realization
of these yield prediction with feedback systems will require tighter coordination between yield and factory operation data
management systems.
Yield management systems (YMS) must be developed that can access and correlate information from multiple data sources.
YMS should also work with measurement/metrology equipment from multiple suppliers using pre-competitive standards-
based data models and structures. Longer term Augmenting Reactive with Predictive and Prescriptive technologies will
result in a capability for yield prediction; the challenges and potential solutions for this capability will be coordinated with
the Yield Management group. Refer to the Yield Enhancement chapter for a more comprehensive discussion on YMS.
YE and Factory Integration Synergy
In aligning with the industry trend towards tighter integration of YE and FI activities, the YE IFT contributes this section
in the FI chapter that provides discussions of challenges and solutions associated with the CIA aspect of YE. The reader is
encouraged to refer back to the full YE chapter when reading this section to understand the full YE roadmap.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Cross Teams 65

The increased synergy between YE and FI efforts can be summarized with the following ESH/S strategies:
• The road mapping focus will move from a technology orientation to a product/application orientation.
• Airborne molecular contamination (AMC), packaging, liquid chemicals and ultra-pure water were identified as
main focus topics for the next period.
Electrical characterization methods, big data and modeling will become more and more important for yield learning and
yield prediction.
7.2.2. AIRBORNE MOLECULAR CONTAMINATION
The presence of Airborne Molecular Contamination (AMC) within the processing areas has played a more significant role
as device geometries for integrated circuits shrink. Yield problems caused by AMC are well documented and occur at a
host of different process steps.
Airborne molecular contamination (AMC) control may be implemented either fab-wide or locally at certain critical
processes, potentially also at different levels for different processes. All cleanroom components, such as filters, partition,
electric wire, etc., should be designed and selected considering their outgassing properties. Also, cross-contamination within
the wafer carriers (FOUPs) should be considerable. Visualization, modeling and simulation tools are required to determine
and validate the most appropriate integrated AMC control solutions. Furthermore, these tools should deliver a fair basis to
estimate the cost effectiveness of the proposed solutions.
The “Wafer Environment Contamination Control” tables of the Yield Enhancement Chapter provide recommended
contamination control levels which should be maintained at the interface between cleanroom environment and the part of
the manufacturing equipment (mini-environments) as follows:
• AMC as measured/monitored in the cleanroom air and /or purge gas environment
• Surface Molecular Contamination (SMC) on monitoring wafers
These values reflect the need to reduce AMC from the ambient environment as well as to keep the out-gassing emissions
in the clean room environment at low level.
It is noteworthy that there is a second contamination path regarding AMC that needs to be managed. Wafers leaving process
covered with residues are out-gassing and over time any minienvironment in which processed wafers are temporally staying
or kept for certain duration such as the wafer carrier (FOUP) will be contaminated. These adsorbed contaminations on the
FOUP wall have been observed to re-contaminate cleaned wafers and subsequently contaminate equipment including
expensive metrology equipment. This cross-contamination mechanism has been primarily identified for volatile acids after
dry etching processes but cannot be neglected for other equipment and for other contaminants, such as caustics, organics
and dopants. This cross contamination depends thereby by many factors. There is a need to monitor the FOUP
contamination level as well as the interface between equipment and wafer carriers.
FOUP purging has been proven extremely difficult due to the dead-end type internal design of air spaces between the wafers
as well as the limited possible flow rate. New methods such as vacuum/N 2 purge cycles can support faster cleaning times
and overcoming the long dead legs. Nevertheless, further development is needed to establish suitable control limits of FOUP
status and purging efficiency with on-line and off-line methods. Refer to Yield Enhancement Chapter for more information.
Meeting AMC requirements is also addressed from a facilities perspective in the Facilities section of this chapter.
7.2.3. ULTRA-PURE WATER
Ultrapure water (UPW) is purified water with most of the quality parameters below or near their detection limits of the most
advanced metrology. Specific definitions of the water quality requirements to enable future technology are presented.
Particle levels are reduced using the best available ultra-filtration (UF) technology, but today’s particle counting technology
is not able to keep up with critical particle node due to continued scaling of critical microelectronics devices.
The focus will turn to critical parameters such as particles, metals, and organic compounds and the corresponding
characterization methods. Particles remain a high and growing risk, critical for implementing future microelectronics
technology; due to its high sensitivity to reducing line widths. On-line metrology for particles in liquid does not address
killer particle size (sensitivity problem), and therefore, filtration efficiency for killer particles provides limited information.
At the same time, it is apparent that the killer size of the particles has approached filtration capability of the most advanced
final filters. Statistical process control is increasingly being used to monitor the consistency of process parameters. Process
variation of fluid purity can be as critical to wafer yield as the absolute purity of the fluids. Therefore, it is important that
measurement methods detect sufficient number of events to ensure confidence in measured particle concentrations.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
66 Cross Teams

Development of other statistically significant particle counting methods or a higher sample volume particle counter is
needed to improve confidence in reported particle counts. Refer to Yield Enhancement Chapter for more information.
7.2.4. ELECTRICAL CHARACTERIZATION METHODS AND VIRTUAL METROLOGY FOR YIELD CONTROL
In order to overcome the problems of missing sensitivity and high effort consuming metrology for yield control one focus
of the YE group will be the partial replacement of physical based metrology with electrical diagnosis and virtual metrology
wherever feasible. The use of all available data sources and approaches for data analysis will be further elaborated for yield
monitoring. Hereby, a better balance of defect/contamination detection and fault diagnostics/control of electrical
characteristics should be established by including statistical and systematic approaches into YE activities.
Furthermore, virtual metrology becomes more and more essential for yield considerations. Virtual metrology is defined as
the prediction of post process metrology variables (either measurable or non-measurable) using process and wafer state
information that could include upstream metrology and/or sensor data.
Refer to Yield Enhancement Chapter and the Augmenting Reactive with Predictive and Prescriptive (ARPP) section of this
chapter for more information.
7.2.5. THE MOVE TOWARDS YIELD PREDICTION
As noted in the ARPP section of this chapter, part of the prediction vision is a state of fab operations where “yield and
throughput prediction are an integral part of factory operation optimization”. Yield prediction will also become an integral
part of yield control and enhancement. Big data capabilities will be leveraged to develop and maintain yield prediction
models. These models will provide indications of potential yield excursions as part of the process flow, so as to provide
“real-time”7 indications of issues to avoid quality issues associated with the delay between processing associated with the
yield excursion and the end-of-line e-test and yield analysis (a delay that can often be days or even weeks). Analytics will
identify culprits of yield excursions in terms of process and process parameters; analytics from other prediction
technologies, notably virtual metrology, will be leveraged. Eventually control actions will be defined to allow the evolution
from real-time yield excursion detection to real-time yield excursion control, and then real-time yield continuous
optimization.
7.3. METROLOGY
Metrology systems must be fully integrated into the factory information and control systems to facilitate run-to-run process
control, yield analysis, material tracking through manufacturing, and other off-line analysis. The scope of measurement
data sources will extend from key suppliers (masks and silicon wafers) through fab, probe, assembly, final test and be linked
to business enterprise level information. Data volumes and data rates will continue to increase dramatically due to wafer
size increases, process technology shrinks, and the big data problem. Virtual metrology (VM) will become an important
solution to augment existing metrology for improving quality without negatively impacting cost in terms of capital and lost
throughput. Refer to the ARPP section of this chapter for the VM roadmap. In factories, review and classification tools may
eventually appear in clusters or integrated clusters to create a more efficient factory interface. Some process equipment will
include integrated measurement (IM) capabilities to reduce cycle time and wafer-to-wafer process variance. The FI and
Metrology IFTs will continue to work on the VM and IM requirements. Refer to the Metrology chapter for overall
metrology topics.
7.4. LITHOGRAPHY
The Lithography chapter deals with the difficulties inherent in extending optical methods of patterning to physical limits,
and also evaluates the need to develop entirely new, post-optical lithographic technologies capable of being implemented
into manufacturing. Key challenges that need to be addressed by the Factory Integration team are to ensure the infrastructure
(power and water) readiness for EUVL to improve Advanced Process Control (APC) for lithography equipment (e.g., tighter
control is needed for overlay and edge roughness), and to improve predictive scheduling/dispatch potential solutions for
lithography as it is usually the bottleneck process. Other issues to be addressed include Design for Manufacturing (DFM)
and temperature variation inside the tools, and AMC and ESD impact on reticle. Refer to Lithography chapter for a more
information.

7“Real-time” as used here is a response time of sufficient promptness so that process flow is not impacted, and yield is not impacted as
a result of analysis delays. For example, the yield prediction should occur before the next wafer or lot is processed (i.e., seconds or
minutes).

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Emerging Concepts and Technologies 67

7.5. MULTI-IFT ISSUE: WAFER DEFECT METROLOGY


Defect metrology continues to be important towards smaller nodes, especially considering new yield challenges like
multiple patterning. The main way to detect yield impacting defects in production is defect inspection. Therefore, the most
important requirements for inspection and review are now incorporated in the More Moore chapter.
For Heterogenous Integration not small dimensions but 3D integration is the challenge. To find the right solutions for those
inspection requirements and challenges will be the focus.
7.6. MULTI-IFT ISSUE: YIELD MANAGEMENT FOR PACKAGING AND ASSEMBLY
As technology requirements in the assembly and packaging area increase, yield loss and therefore yield improvement
methodologies become essential. In this situation a clear benefit can be drawn from the experience in the FE. Yet the most
appropriate methodologies have to be selected and FE yield tools need to be adapted to BE requirements. The task will be
to define a dedicated roadmap.
Due to the changed focus of Yield Enhancement several cross IFT activities are envisaged, connections with More Moore
(MM), Heterogeneous Integration (HI) and Heterogeneous Components (HC) are necessary.

8. EMERGING CONCEPTS AND TECHNOLOGIES


In addition to working on the factory integration sub-sections and cross-IFT challenges, the FI IFT also evaluated key
emerging concepts and technologies that will impact the FI roadmap and cut across all FI areas. This section provides details
on these concepts and technologies which may represent opportunities, but also risks. Some of these concepts and
technologies may deserve increased consideration in future versions of the roadmap, perhaps in the form of their own needs
and potential solutions roadmap subsections.
8.1. BUSINESS PARADIGM CHANGE: MIGRATION TO COOPERATIVE SERVICES-BASED APPROACH
TO FI
The rapid increase in FI requirements (e.g., big data) and capabilities (e.g., prediction) in recent years has led to a change
in the approach to implementing and maintaining FI capabilities. Development and maintenance of emerging capabilities
such as PdM, VM, waste management, and utilities management incorporation into fab objectives, requires intimate
knowledge of the fab objectives, process, equipment and the capabilities themselves. Thus, it has become clear that cost-
effective development and maintenance of these capabilities will require increased and continuous cooperation of users,
OEMs and 3rd party FI capability suppliers. As an example, in a PdM deployment and maintenance effort, the user provides
knowledge of objectives, costs, and metrics of success, along with process and equipment domain expertise. The OEM
provides intimate knowledge of the equipment and PdM best practices for the equipment and serves as a conduit for longer
term enhancement of equipment capabilities based on PdM results. The 3rd party PdM solution provider provides the PdM
solutions which includes a fab-wide integration infrastructure and addresses issues such as security and big data in a
standardized supportable way. During PdM solution maintenance the user leverages the PdM solution and may determine
when enhancements are needed. The OEM continues to provide equipment knowledge and perhaps hardware and software
updates to 1) address new PdM downtime event types as they occur or are addressed, and 2) perhaps reduce the cost of
downtime event types currently addressed by the PdM system. The 3rd party PdM solution provider develops and maintains
the state-of-the-art PdM capability, e.g., implementing improved algorithms and leveraging solution libraries to guide cost-
effective enhancement the PdM capability. This new paradigm of increased cooperation between user, OEM and 3 rd party
FI capability supplier will place stronger requirements on issues such as security, IP protection and all big data issues. These
issues will have to be addressed in the FI roadmap.
8.2. SUPPLY CHAIN MANAGEMENT
FI connectivity up and down the supply chain leveraging the accelerated IT technology trends will be necessary to support
tightening of production methods (e.g., associated with lean manufacturing) and addressing business requirements (e.g., for
warranty traceability, improved quality, improved productivity, and cost reduction). Supply chain integration and
management is thus a necessary part of the FI roadmap. Developing this roadmap will require and understanding of both
microelectronics supplier and consumer sectors. For example, with respect to suppliers it will require an understanding of
methods for specifying, bidding and ordering to support lean manufacturing inventory management requirements. With
respect to customer, it will require and understanding of traceability requirements to support customer sector warranty and
cost reduction requirements. Ultimately there should be an effort to consolidate requirements capabilities, best practices
and standards across the supplier and customer environments for reduced costs and increased capabilities.. In the future
Supply Chain Management may be one of the focus areas of the FI thrust.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
68 Emerging Concepts and Technologies

8.3. FUTURE CONTROL PARADIGMS


The future of control in many aspects of FI is unclear and is evolving quickly. Some control paradigms that are being or
will be considered are:
• Distributed control and autonomous control: The advent of technologies such as web-based services and tablets
has resulted in the enabling of a highly distributed control environment where disparate capabilities and suppliers
can be brought together to achieve control objectives, moving away from the centralized control concept. This
technology trend is already being harnessed in many FI domains (e.g., mobile computing units for maintenance
management or process monitoring), however the level and timing of impact on the FI control approach as a whole
is unclear. It is likely that movement to this control paradigm will be guided by trends across manufacturing in
general rather than trends specific to microelectronics manufacturing.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
Conclusions and Recommendations 69

9. CONCLUSIONS AND RECOMMENDATIONS


The Factory Integration chapter of the IRDS focuses on integrating all the factory components needed to efficiently produce
the required products in the right volumes on schedule while meeting cost targets. The Factory Integration chapter provides
the technical requirements categorized by functional areas and also the proposed potential solutions. It also provides Factory
Integration related challenges from the crosscut issues and key focus areas that need to be addressed in order to keep up
with the technology generation changes, productivity improvements and at the same time maintaining decades-long trend
of 30% per year reduction in cost per function.
The 2020 Factory Integration report evolved from the 2017 Report, which succeeded ITRS 2.0 FI chapter [8,9]. The 2020
report has the following highlights:
1. Introduction of Smart Manufacturing (SM) subchapter and restructuring existing sections that are the tenets to the
SM as sections under the subchapter
2. Added sections for Advanced Analytics and Applications (AAA), Digital Twin (DT), Industry Internet of Things
(IIoT) and the Cloud, Integrated Supply Chain (ISC), and Reliance on a Knowledge Network (KN) that are tenets
to the SM
3. An expanded discussion of security, identifying data partitioning and IP security as a key gating factory in moving
forward in many of the SM and I4.0 tenants.
4. Continued emphasis on addressing the 5 “Vs” of big data and data management, recognizing that the big data
revolution is occurring faster than previously envisioned. Determination that a potential exists for retention of ALL
data in archival fashion with respect to particular data stores, and this may be desirable in future scenarios, e.g., to
support predictive analytic model development.
5. An expanded discussion of the prediction vision especially with respect to specific capabilities of VM and yield
prediction and how they will evolve over time.
6. A presentation of an algorithm analytics roadmap component defining the requirements that shape algorithm
choices and explaining the requirement for incorporation of SME into most analytics solutions in microelectronics
FI.
7. An identification of the reemergence of 200mm as an important component of the FI ecosystem moving forward.
The recommended next steps for the microelectronics manufacturing FI ecosystem community are as follows:
1. Embrace the tenets of SM and I4.0. Pursue big data solutions as a complement to existing transactional solutions.
Pursue advanced analytics for solutions, with a focus on incorporating SME into solutions. Consider comparative
study of analytical solutions and determining an analytics roadmap as part of the decision process. Understand
expanding role of simulation/emulation and the emergence of the digital twin concept. Identify opportunities up
and down the supply chain and consider potential solutions for increased integration.
2. Understand that data partitioning and IP security will be a growing challenge. Look towards emerging potential
solutions including standards in this and other industries that can be leveraged.
3. Pursue increasing granularity of diagnostics and control systems. FDC will continue to be primarily end of recipe
or recipe step but will include more in-situ “real-time” FDC. R2R control will continue to move from lot to wafer
to within wafer, and ultimately to include in-situ control.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
70 References

10. REFERENCES
[1] Moyne, J., Mashiro, S., Gross, D., “Determining a Security Roadmap for the Microelectronics Industry”
Proceedings of the 27th Annual Advanced Semiconductor Manufacturing Conference (ASMC 2017), Saratoga
Springs, New York, May 2017.
[2] Wikipedia: Internet of things. Available online: https://en.wikipedia.org/wiki/Internet_of_things
[3] J. Moyne and J. Iskandar, “Big Data Analytics for Smart Manufacturing: Case Studies in Semiconductor
Manufacturing,” Processes Journal, Vol. 5, No. 3, July 2017. Available on-line: http://www.mdpi.com/2227-
9717/5/3/39/htm.
[4] Wikipedia: Smart Manufacturing. https://en.wikipedia.org/wiki/Smart_manufacturing
[5] Davis, J., Edgar, T; Porter, J., Bernaden, J., and Sarli, M. Smart manufacturing, manufacturing intelligence and
demand-dynamic performance,” Computers & Chemical Engineering, 2012, vol. 47, pp. 145–156.
[6] Project of the Future: Industry 4.0, Germany Ministry of Education and Research, Available on-line:
http://www.bmbf.de/en/19955.php.
[7] Kagermann, H.; Wahlster, W. INDUSTRIE 4.0 Smart Manufacturing for the Future. Germany Trade and Invest,
2016.
[8] International Roadmap for Devices and Systems, 2016 Edition: Factory Integration White Paper. Available online:
https://irds.ieee.org/images/files/pdf/2016_FI.pdf .
[9] International Technology Roadmap for Semiconductors, 2.0. Available online: http://www.itrs2.net/
[10] International Roadmap for Devices and Systems: Virtual Metrology White Paper – 2017.
[11] Wikipedia: Digital Twin. Available online: https://en.wikipedia.org/wiki/Digital_twin.
[12] NIST Big Data Working Group. Available online: http://bigdatawg.nist.gov/home.php.
[13] Najafabadi, M. N., et al., “Deep learning applications and challenges in big data analytics”, Journal of Big Data
(2015) 2:1.
[14] Vogel-Walcutt, J.J, Gebrim, J.B., C. Bowers, Carper, T.M., Nicholson, D., “Cognitive Load Theory vs.
Constructivist Approaches: Which Best Leads to Efficient, Deep Learning?” Journal of Computer Assisted
Learning, 2010.
[15] Armacost, M. and Moyne, J. “Moving towards the ‘smart manufacturing’ in microelectronics manufacturing”,
Nanochip, vol. 12, N. 2, 2017.
[16] Cyber-Physical Systems (CPS) Program Solicitation NSF 10-515. Available online:
https://www.nsf.gov/pubs/2010/nsf10515/nsf10515.htm.
[17] Moyne, J., Samantaray, J. and Armacost, M. “Big Data Capabilities Applied to Semiconductor Manufacturing
Advanced Process Control,” IEEE Transactions on Semiconductor Manufacturing, Vol. 29, No. 4, November 2016,
pp. 283-291.
[18] Lopez, F.; et. al. Categorization of anomalies in smart manufacturing systems to support the selection of detection
mechanisms. IEEE Robotics and Automation Letters (RA-L), August 2017.
[19] Moyne, J., and Mashiro, S., “A Roadmap for the Future of Smart Manufacturing in Microelectronics: Defining the
Role of R2R Control and FDC,” (invited) Advanced Process Control Conference XXX, October 2018. Available
online via: http://apcconference.com.
[20] Lopez, F., Moyne, J., Barton, K., and Tilbury, D., “Process capability- aware scheduling/dispatching in wafer fabs,”
Advanced Process Control Conference XXIX, October 2017. Available via: http://apcconference.com.
[21] J. Moyne, J. Iskandar, P. Hawkins, T. Walker, A. Furest and B. Pollard, D. Stark and G. Crispieri, “Chamber
Matching Across Multiple Dimensions: Utilizing Predictive Maintenance, Equipment Health Monitoring, Virtual
Metrology and Run-To-Run Control,” Proceedings of the 25th Annual Advanced Semiconductor Manufacturing
Conference (ASMC 2014), Saratoga Springs, New York, (May 2014).

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.
References 71

[22] Y. Qamsane et. al., “A comprehensive digital twin framework for semiconductor manufacturing: Case study—
optimized scheduling/dispatch’” Advanced Process Control Conference XXXI, October 2019. Available
via: http://apcconference.com
[23] J. Moyne, Y. Qamsane, E.C. Balta, I. Kovalenko, J. Faris, K. Barton, and D.M Tilbury, "A Requirements Driven
Digital Twin Framework: Specification and Opportunities," in IEEE Access, 2020, doi:
10.1109/ACCESS.2020.3000437.
[24] Plattform Industrie 4.0, “Details of the asset administration shell—Part 1—The exchange of information between
partners in the value chain of Industrie 4.0 (version 1.0),” Federal Ministry for Economic Affairs and Energy,
Berlin, Working Paper, Nov. 2018. [Online]. Available: https://www.plattform-i40.de/I40/
Redaktion/EN/Downloads/Publikation/2018- details-of-the-asset-administration-shell .pdf?—
blob=publicationFile&v=9
[25] IMA-APC Council Report-out: 2018 Meeting on Data-driven versus subject-matter-expertise (SME) enhanced
modeling for APC, APC Conference XXX, Austin, Texas, October 2018. Available via www.apcconference.com
[26] J. Moyne, “Subject-Matter-Expertise is Critical for Smart Manufacturing Analytics,” Nanochip Fab Solution, Vol.
14, No. 1, July 2019. Available on-line: http://www.appliedmaterials.com/nanochip/nanochip-fab-solutions.

THE INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: 2023


COPYRIGHT © 2023 IEEE. ALL RIGHTS RESERVED.

You might also like