Rom Interface
Rom Interface
Rom Interface
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity my_rom_interface is
port (
rst_rom : in std_logic;
clk_rom : in std_logic;
rom_en_int : in std_logic;
im_reqn : in std_logic;
);
end my_rom_interface ;
begin
else
case state_rom is
when S0 =>
if rom_en_int = '1' then
end if;
when S1 =>
when S2 =>
end if;
when S3 =>
when S4 =>
end case;
end if;
end if;
end process rom_p;
end my_rom_interface_a;