Laboratory Introduction in KAIST
Laboratory Introduction in KAIST
Laboratory Introduction in KAIST
10
11
12. Prof. Kyung Cheol Chois Lab. (Advanced Display & Nano Convergence
12
Laboratory)
13. Prof. Sung-Yool Chois Lab. (Molecular & Nano Device Laboratory)
13
14
15
Laboratory)
17
18
19
Laboratory)
4. Prof. Seong-Ook Parks Lab. (Microwave and Antenna Laboratory)
20
21
22
Laboratory)
7. Prof. Kyoungsik Yus Lab. (Integrated Nanophotonics Laboratory)
23
24
25
26
11. Prof. Yun Chur Chungs Lab. (Lightwave Systems Research Laboratory)
27
29
2. Prof. Dae-Shik Kims Lab. (Brain Reverse Engineering and Imaging Laboratory)
30
31
Laboratory)
4. Prof. Kim, Seong Daes Lab. (Visual Communications Laboratory)
32
33
6. Prof. Junmo Kims Lab. (Statistical Inference and Information Theory Laboratory)
34
35
36
37
10. Prof. Yong Man Ros Lab. (Image and Video Systems Laboratory)
38
39
40
13. Prof. Chang Dong Yoos Lab. (Statistical Learning for Signal Processing
41
Laboratory)
14. Prof. Soo-Young Lee's Lab. (Computational NeuroSystems Laboratory)
42
15. Prof. Dong Eui Changs Lab. (Control and Robotics Laboratory)
43
44
45
47
48
49
Laboratory)
4. Prof. Park Hong-Shiks Lab. (Multimedia Traffic Engineering Laboratory)
50
51
52
53
54
9. Prof. Yung Yis Lab. (Laboratory of Network Architecture Design and Analysis)
55
56
Laboratory)
11. Prof. Song Chongs Lab. (Network Systems Laboratory)
57
58
13. Prof. Dongsu Hans Lab. (Intrlligent Network Architecture and Distributed
59
Systems Laboratory)
COMmunications
1. Prof. Joonhyuk Kangs Lab. (Advanced Radio Technology Laboratory)
61
62
63
64
65
66
67
68
69
70
11. Prof. Cho Dong Hos Lab. (Ubiquitous Mobile Life System Laboratory)
71
72
13. Prof. Jeongseok Has Lab. (Coding, Communications and Information Theory
73
Laboratory)
14. Prof. Youngnam Hans Lab. (Wireless Innovative Technology Laboratory)
74
76
77
78
79
80
81
82
83
84
10. Prof. Minkyu Jes Lab. (Integrated Microsystems Powered with Advanced Circuit
85
Technologies Laboratory)
11. Prof. Gyu Hyeong Chos Lab. (Circuit Design And System Application Laboratory) 86
12. Prof. SeongHwan Chos Lab. (Cho's Circuits and Systems Laboratory)
87
PhD Students: 11
TEL : 042-350-5455
Masters Student: 5
Microwave Microsystems Laboratory(Microlab) carries out advanced researches on intelligent radio frequency systems to lead
next-generation wireless and mobile communication technologies.
- 100Gbps C2C wireless communication is being implemented in 120 GHz CMOS radio together with on-chip/off-chip antennas.
- 60 GHz CMOS radio has been studied and is being developed for very high speed wireless communication including wireless VR
HMD application.
- We have been studying a new type of nano-structure oscillator, STNO for application to wireless communication, and demonstrated
the first wireless communication successfully using STNO device in the world (2014 Scientific Report, a Nature-sister on-line journal).
Autonomous Control of Blood Glucose using Millimeter Wave Detection and Neural Modulation
- Blood glucose monitoring in human body is being studied with mmW transmission/reflection spectroscopy and atVNS neural modulation
with mmW radio is under study for the autonomous blood glucose control in human body.
- 1 -
TEL : 042-350-7418
TEL : 042-350-8286
Website : http://cnl.kaist.ac.kr
Current member (in 2016 Fall Semester)
Research Professor : 1
Postdoctoral Fellows : 2
PhD Students: 4
Masters Student: 4
Research Areas
As the feature size of conventional planar metal-oxide-semiconductor
field-effect transistors (FETs) shrinks into the nanometer regime,
performance of the devices is degraded due to short-channel effects
caused by weakened gate control. To overcome this, novel devices such
as nanowire, ultra-thin body FETs have emerged as the next generation
devices. The classical or semi-classical approach is no longer valid for the
nano-sized devices so quantum-mechanical, atom-level treatment is
required.
Introduction to CNL
Computational science/engineering is a new, a third way of doing research, besides the traditional way of doing
research which is theory or experiment. Remarkable progress in the computer power and increasing needs for
computation has led to the era of computational science/engineering. To meet the needs of the times, CNL provides its
members with environments and experiences, which help them become the experts in semiconductor device physics and
computational electronics. At the time of graduation, they are expected to be capable of handling all the
semiconductor-device related issues that become more and more complex and ready to work both in industry and
academia.
Recent research achievements ('14~'16)
[1] M. Shin, W. Jeong, and J. Lee, "Density functional theory based simulation of silicon nanowire field-effect transistors",
Journal of Applied Physics, vol. 119, no. 15, pp. 154505, Apr. 2016.
[2] J. Lee, J. Seo, J. Oh, and M. Shin, "Nonorthogonal sp3d5 tight-binding parameterization of single-layer phosphorene
under biaxial strain and application to FETs", Nanotechnology, vol. 27, no. 24, pp. 245202, May 2016.
[3] H. Jung and M. Shin, Surface roughness scattering effects on the ballisticity of Schottky barrier nanowire field-effect
transistors", Journal of Applied Physics, vol. 118, no. 19, pp. 195703, Nov. 2015.
[4] J. Lee and M. Shin, "Performance assessment of III-V channel ultra-thin-body Schottky-Barrier MOSFETs", IEEE Electron
Device Letters, vol. 35, pp. 726, Jul. 2014.
- 2 -
Lab. :
E3-2 1227
Website : http://hsnl.kaist.ac.kr
Masters Student: 5
Research Areas
demand
for
high
data-rate
and
low
power
wireless
applications
such
as
mobile
communications and biomedical wireless sensors is rapidly increasing. In our laboratory, the low
power and high data-rate transceiver system based on InP RTDs have been studied for future
IoT applications.
Image Sensor
Image sensor based on - compound semiconductor
InGaAs based SWIR image sensors provide key benefits for covert surveillance, targeting, and
tracking applications in the military. However, there has been no research for the InGaAs/InP
SWIR image sensors in Korea. In our lab. we have been performing the development of the
InGaAs/InP photodiode array.
Recommended courses & Career after graduation
Recommended courses:
- 3 -
TEL : 042-350-3483
Lab. :
TEL : 042-350-5483
#6207,6218 E3-2
Website : http://lanada.kaist.ac.kr
Current state of the Lab. (in 2016 Fall Semester)
Research Professor: 1
Research Areas
PhD Students: 14
Masters Student: 6
- 4 -
TEL : 042-350-3462
TEL : 042-350-5462
website : http://codes.kaist.ac.kr
Laboratory Members
PhD candidate : 5
Research Topics
M.S. candidate: 1
courses
include
Electronic
Circuits,
Other Activities
Radio
Achievements
[1] Y.H Kim et. al., IEEE Trans. Industrial Electronics, 2010. [2011 Best Paper Award]
[2] S.H Shin et. al., IEEE Trans. Circuits and Systems I, 2015.
[3] M. Lopez et. al., ISOCC, 2015. [2015 Best Paper Award]
[4] J.H Park et. al., IEEE Sensors, 2015.
[5] S.J. Kweon et. al., APPCAS, 2016. [2016 Best Paper Award]
[6] S.H. Shin et. al., ISOCC, 2016. [2016 Best Paper Award]
- 5 -
TEL : 042-350-7611
Homepage : http://tnslab.kaist.ac.kr
Etc activities
Introduction to physical electronics, Semiconductor devices, Students are strongly encouraged to publish or deliver their
research
papers
in
highly
reputed
Semiconductor
integrated
circuits,
Communication outstanding
are international/domestic journals or conferences. Moreover,
recommended. After graduation, students can proceed to students hold several refreshment gatherings as well as lab
the path of university professor, major companies, national meetings for convergence research activities.
engineering,
Wireless
communication
systems,
etc
laboratories, etc.
Lab PR
We have been working on the research of ultra-small, low-power, high-efficiency micro/nano energy harvesting devices,
systems, and adaptation algorithms. We have also been expanding our research fields more into smart systems and
intelligent algorithms. Recently, we have started a new study on the high-efficiency self-generated sensor network
technology, based on the convergence of both nanostructured energy harvesting devices and innovative sensor networks
for wearables/IoT applications. Currently, professor and students are actively working more on a new convergence study
on high-efficiency thermoelectric/piezoelectric energy harvesting devices and systems, based on the design & fabrication
of their innovative nanostructures.
Research achievements
1. [1] Yeongseon Kim et al., Direct Contact Resistance Evaluation of Thermoelectric Legs,
2.
3. [2] Jaedon Park et al., Impact of Pointing Errors on the Performance of Coherent Free-Space Optical Systems,
4.
IEEE Photonics Technology Letters, vol. 28, no. 2, pp. 181-184, January 2016.
[3] Jaedon Park et al., Outage Probability Analysis of A Coherent FSO Amplify-and-Forward Relaying System,
IEEE Photonics Technology Letters, vol. 27, no. 11, pp. 1024-1027, June 2015.
1. [4] Jaedon Park et al., Performance Analysis of Coherent Free-Space Optical Systems with Multiple Receivers,
2.
IEEE Photonics Technology Letters, vol. 27, no. 9, pp. 1010-1013, May 2015.
5. [5] Eunju Lee et al., Characteristics of Piezoelectric ZnO/AlN-Stacked Flexible Nanogenerators for Energy Harvesting
Applications, Applied Physics Letters, [http://dx.doi.org/10.1063/1.4904270], 023901, published online, January 2015.
- 6 -
3D Micro-Nano
Structures Laboratory
TEL : 042-350-5476
Website : http://MEMS.kr/
Current state of the Lab. (in 2016 Fall Semester)
Postdoctoral Fellows : 0
Research Areas
PhD Students: 7
Masters Student: 3
Based on semiconductor process technology, our laboratory develops new processes and develops devices with new
principles. The developed technology is mainly applied to device development which is classified under the category of
MEMS (Micro-Electro-Mechanical System). MEMS has been used in various fields such as introducing new operation
principle or suggesting a greatly improved design compared with conventional device structure. Recently, As the demand
for high-performance sensors has increased, The application range has been expanded
The 3DMNSL laboratory develops and applies NEMS(Nano-Electro-Mechanical System) technology in various electronic
devices, We are working on developing devices with improved performance
Switch for Memory, Logic & RF applications -----------------------------------------------------------------------------------------We are researching the new concept of MEMS / NEMS electromechanical switch that
can solve the leakage current problem which is the fundamental problem of
semiconductor devices and applying it to logic, RF device and memory.
The world's smallest nano-gap switch was developed, and NEM switches that
operated at less than 1V have been developed for the first time in the world and
published in Nature Nanotechnology (IF: 31.170).
Nanowire Device ------------------------------------------------------------------------------------------------------------------------We are developing a high performance electronic device based on the peculiar physical
characteristics of Nano size. A nanowire array with a large-area & large aspect ratio
was developed and published in Nano Letters (IF: 13.025). Recently, On the basis of
this research, We are researching various gas, pressure, infrared sensor and energy
harvesting devices that are receiving much retention
Display-------------------------------------------------------------------------------------------------------------------------------------We are researching new display such as Micro mirrors & Micro shutters, optical sheets for displays, and transparent
displays. Using Diffuser lithography, which is a proprietary process technology of our laboratory, We easily fabricated a
large-area microlens array. We are developing a high performance display device by mechanical micro shutter for lowpowered display
Recommended courses & Career after graduation
EE561
where
integrated
circuit
devices,
and
EE566
MEMS
both
students
and
graduates
attend,
In
winter,
are held at domestic ski resorts for two nights and three
Diego,
Samsung
Caltech,
UIUC,
John`s
Hopkins)
and
14.829) (2012)
[4] Development of the world's first sub-1V nano mechanical switch( Nature Nanotechnology, IF: 31.170, 2013)
[5] Development of large-area nanowire manufacturing technology with the largest aspect ratio in the world (Nano Letters, IF: 13.025,
2013)
- 7 -
PhD Students: 1
TEL : 042-350-3433
TEL : 042-350-5433
Website : http://wpcl.kaist.ac.kr
Masters Student: 1
Research Areas
The main research area of Wireless PHYCOM Lab. (WPCL) is on wireless physical layer communication system, which
includes both the RF and baseband analog/digital circuitry, specifically the low power CMOS circuit design. In addition to
R&D, we are highly emphasizing high-tech entrepreneurship.
The recent R&D topic is on the development of multi-band/multi-mode programmable radio receivers and SAW-less RF
transceiver for SDR (software defined radio). Modern cellular phone is supposed to have more than 10 radios, composed
of several cellular, several mobile TV, and WLAN, Bluetooth, RF-ID, and so forth. The above technology is possible when
the challenges of broadband matching, wide band selectivity/sensitivity, a sufficient gain with wide bandwidth, a high
linearity, a small noise is settled nicely. It is a great challenge to provide this with acceptable performance with smallest
form factors and cost.
Another research includes user interface(UI) technology for a mobile information device in the future. Various sensors,
sensing algorithms and modeling, gesture language development, and feedback techniques are the main issues.
universities, 44 researchers at domestic industries and research institutes, 12 researchers at international industries, 5
ventures, and 2 governmental officers.
Hongjae Jang, Hyungcheol Shin, Seunghoon Ko, Ilhyun Yun, Kwyro Lee, 2D Coded-aperture-based ultra-compact
capacitive touch-screen controller with 40 reconfigurable channels, SOLID-STATE CIRCUITS CONFERENCE DIGEST OF
TECHNICAL PAPERS (ISSCC), pp.218-219, February. 2014.
B.-K. Kim, D. Im, J. Choi and K. Lee, A Highly Linear 1GHz 1.3dB NF CMOS Low-Noise Amplifier with Complementary
Transconductance Linearization, IEEE J. of Solid-state Circuits, vol. 49, No. 6, pp. 1296-1302, June 2014.
- 8 -
TEL : 042-350-7436
TEL : 042-350-7536
Website : https://sites.google.com/site/kaistbmm/
Current state of the Lab. (in 2016 Fall Semester)
Postdoctoral Fellows : 0
Research Areas
PhD Students : 1
Masters Student : 4
Our lab aims to develop novel systems for biomedical applications such as early detection of disease, therapeutics, and
investigation of underlying mechanism of brain diseases. In specific, we focus on 1) developing biocompatible, flexible
materials to interface with our organs and skins, 2) applying micromachining and MEMS technology to these materials to
add functionalities, and 3) interfacing these devices with interface circuits to develop complete microsystems.
Flexible Biomedical Devices for Understanding Fundamental Science
We aim to develop various next-generation neurodevices that would facilitate the
advancement of neuroscience research. Examples include multi-functional flexible neural
probes,
drug
delivery
systems,
ECoG
arrays,
and
microdialysis.
In
specific,
stimulation
offers
resolution,
and
penetration
larger
competitive
advantages
depth.
We
are
such
as
developing
non-invasiveness,
miniaturized
higher
flexible
spatial
ultrasound
and
courses
MEMS.
include
Careers
fabrication,
in
nano/bio
semiconductor
and
- 9 -
PhD Students: 8
Website : http://irislab.kaist.ac.kr
Masters Student: 2
- 10 -
Research Areas
Next Generation Semiconductor
- Ultra-Flexible silicon devices
Our group has been developing ultra-thin single crystalline silicon (Si) nano-membrane device to realize high
performance flexible logic circuits by utilizing the high flexibility due to the ultra-thinness and the high performance due
to the single crystallinity of the channel material.
- Graphene Electronics
Graphene is an allotrope of carbon in the form of a two-dimensional, atomic-scale, honey-comb lattice in which one
atom forms each vertex. Since graphene has an extremely high mobility compared to silicon, it has a large potential to
be used as the future electronic devices. Our group has world-class graphene process and device technologies.
Wearable Thermoelectric Generator
Thermoelectric generators (TEG) are devices which convert temperature differences (heat) into electrical energy. Since the
thermal energy is everywhere in our daily life, it can be one of the most promising energy solutions in the future. Our
group has been focused on a flexible TEG, which can be used for wearable electronic systems.
Advanced CMOS Technology
- Ultra-low-k/Cu Interconnects
As the scaling of semiconductor devices continues, the major bottleneck of the signal delay of the semiconductor chip
comes from the interconnections. Our group has made important advances in advanced interconnection technologies, such
as an ultra-low-k dielectric engineering and alternative conductors (Co, Ru) for the device technology nodes of 5nm and
beyond
- 3D semiconductor devices
Today is the era of 3D semiconductor devices. Our group has been developing new processing technology, such as
atomic layer deposition of metal, for the application of 3D devices, doing collaboration with SK Hynix Semiconductor Ind.
- Ge Transistor
Germanium has higher hole (x4) and electron (x2) mobility than silicon, being one of the candidate materials to replace
silicon in the future. Our group has been doing research on 3D germanium transistor technology targeting 7-nm
technology node and beyond..
- 11 -
PhD Students: 14
TEL : 042-350-3482
TEL : 042-350-5482
Website : http://adnc.kaist.ac.kr
Masters Student: 8
Research Areas
The ADNC laboratory conducts applied and convergent research based on advanced
display and nanotechnology.
Transparent and
flexible
display
fundamental
research
on
OLED-based
Quantum dot and quantum rod display research on a new display mode using
inorganic materials called quantum dots and quantum rods in nano size to control
light, an area of study that has recently attracted attention in the display field.
Wearable display research on textile based clothing-like wearable displays.
Displays can be formed on fabric, or displays formed on fiber can be woven to
fabric. These technologies will provide a foundation for various applications such as
display curtains or carpets in future, and will also affect the fashion industry.
Stretchable display beyond curved and bended displays, conducting basic study
Wearable display
academic
institutes
including
LG
Display,
Samsung
or as a university professor.
Introduction to the Lab.
The ADNC lab. conducts research covering a broad area of advanced displays, and has published 143 SCI papers,
delivered 176 presentations in conferences, and filed 87 patents. This laboratory led the Center for Advanced Flexible
Display Convergence (CAFDC), which was selected as an Advanced Research Center Program of the National Research
Foundation of Korea (NRF) from 2007 to 2016. Professor Kyung Cheol Choi has been in charge of the LG Display-KAIST
cooperation center from 2010 until now, and our laboratory hence has many opportunities for technology seminars and
industry-academia cooperation with LG Display. From previous research on the worlds most efficient PDP to current
research on the worlds first fiber-based OLED with a solution process, we have reported numerous excellent results and
have attracted attention from various media around the world. Students interested in future displays should take note of
our lab.
Recent research achievements ('14~'16)
38 SCI papers, 24 presentations in conference, 21 patents applied for or registered. Two cover papers related to fabric and
fiber based wearable displays were published in Advanced Electronics Materials in 2015 and 2016, respectively.
- 12 -
TEL : 042-350-7427
TEL : 042-350-7627
TEL : 042-350-7527
Masters Student: 10
Research Areas
1. Synthesis of large area and high
quality 2D materials (Graphene,
2D semiconductors, h-BN)
2. Process Technology for 2D
materials
3. Electronic/optical devices (TFT,
memristor, plasmonic sensors)
based on 2D materials
4. Various applications of 2D
materials (display, energy device,
flexible device/system)
- 13 -
TEL : +82-42-350-5477
Website : http://nobelab.kaist.ac.kr
PhD Students: 13
Masters Student: 9
more
advanced
semiconductor
devices
satisfying
the
abovementioned
DRAM
source/drain,
and
resistive
flash
memory,
random
ultra-high
access
memory
speed
(RRAM),
memory
and
using
vertically
Schottky
integrated
group
is
good
at
patterning
of
micro-
or
nano-structure
by
use
of
graduation,
one
can
be
professor,
post-doctoral
- 14 -
subminiature,
low-cost system by using System on Chip(SoC) technologies. Also, pulse mode operational UWB
radar sensor system has advantage on resolution, accuracy and power consumption. Based on
this, we develop radar systems in various frequencies and its applications. So far, we developed
and
transferred 24GHz humansensing radar technology for automatic door and street lamp.
Currently, we are developing 79GHz short-range automobile radar for automatic driving or
manless car, and 60GHz hand-motion sensing radar for Human computer interaction(HCI). These
technologies can be applied to various fields such as robots, drons, bio-signal measurements, ect.
Sub-terahertz Circuit system
THz band has been attracted because MHz and GHz band is saturated and high-data rate is
needed. In THz band RF circuit system can be integrated on a chip including antenna, and can
be used for high data rate chip-to-chip communication. We are researching more compact THz
circuit system using CMOS Technology.
Recommended course
Electronic circuit and RF engineering courses are recommended
for undergraduate students. Digital circuit and digital signal
Activity
We do sports activities(soccer, table tennis, badminton,
processing are also helpful courses for our research field. Most of
bowling)
once
week.
activities(watching
movie,
We
sports
regularly
game,
have
shows)
cultural
together.
Not giving up on what many believed to be impossible, we have produced meaningful outcomes that are recognized by
both academia and industry. We are always wide open to anyone who is creative and passionate
Research achievement
[1] K. Kim, D. Lee and S. Hong, A Quasi-Doherty SOI CMOS Power Amplifier With Folded Combining Transformer, IEEE
Trans. Microw. Theory and Tech, vol. 64. no. 8, pp. 2605-2614, Aug. 2016.
[2] B. Kim, D. Lee, and S. Hong, A Multi-Band CMOS Power Amplifier Using Reconfigurable Adaptive Power Cell
Technique, IEEE Microw. and Wireless Comp. Letters, vol. 26. no. 8, pp. 616-618 Aug. 2016.
[3] J. Jang, J. Oh, C. Kim, and S. Hong, "A 79-GHz Adaptive-Gain and Low-Noise UWB Radar Receiver Front-End in
65-nm CMOS," IEEE Trans. Microw. Theory and Tech, vol. 64. no. 3, pp. 859-867, Mar. 2016.
[4] H. Koo, C. Kim and S. Hong, "A G-Band Standing-Wave PushPush VCO Using a Transmission-Line Resonator," IEEE
Trans. Microw. Theory and Tech, vol. 63. no. 3, pp. 1036-1045, Mar. 2015.
- 15 -
Postdoctoral Fellows : 0
TEL: 042-350-5458
Website: http://tera.kaist.ac.kr
PhD Students: 17
Masters Student: 10
Funded Project
of the high speed electronics and high performance automotive components
of the electrical ultra-fine 3-D defects detection technology
the future-gen high performance DRAM system architecture
of the glass interposer based RF FEM module for the future-gen
smartphone
Research on the optimal design method of the chip-package-system for the mobile AP
Development of the interconnection system for the 3-D flexible device
Research
2009.01 ~
2011.06 ~
2016.07 ~
period
2016.12
2017.05
2017.06
Organization
KET
Etri
SK Hynix
2013.11 ~ 2018.10
KIAT
2014.05 ~ 2017.05
2015.06 ~ 2020.05
LG Electronics
KIMM
- 17 -
Contact information
Laboratory
Website : https://sites.google.com/site/hoonkimlabs/
PhD students : 0
Masters students : 3
Research Areas
We have been focusing our research activities on various aspects of photonic systems/subsystems and related
technologies, including high-capacity fiber-optic communication systems, broadband optical access systems, photonic
sensor systems, fiber-optic mobile fronthaul/backhaul systems, and lightwave subsystems since 2014.
High-speed free-space optical transmission system for military applications
Due to the scarce resources of RF spectrum and growing demand for higher
capacity, there is an increasing interest in the free-space optical (FSO) transmission
system for military applications. We are exploring the possibility of utilizing the FSO
technology for long-distance, high-capacity transmission for military applications.
100G transmission system for data center
The simplest and most economical method for implementing an optical transmission
system is to utilize a directly modulated laser (DML) and a direct-detection
receiver.
We
are
currently
working
on
various
optical
and
electrical
(1)
Communications,
Optical Engineering.
photonics.
Recommended
courses:
Digital
- 18 -
#6208, E3-2
TEL : 042-350-3443
Lab. :
#5214, E3-2
TEL : 042-350-5443
Website : http://ett.kaist.ac.kr
Electromagnetic Theory & Technology Laboratory
Current state of the Lab. (in 2016 Fall Semester)
PhD Students: 7
Research Areas
Electromagnetic wave theory
In electromagnetic wave theory, notable issues are wave propagation prediction model, hybrid scattering analysis
technique, RCS (Radar Cross Section) modeling and analysis, radar signal processing, and target recognition.
Particularly, DRT (Deterministic Ray Tracing), which is a powerful wave propagation prediction model, is developed
and investigated in the lab. The DRT technique is based on UTD (Uniform geometrical Theory of Diffraction). Various
propagation characteristics in the electromagnetic environments is efficiently analyzed using the DRT technique.
RF system
In RF (Radio Frequency) system, MIMO (Multiple Input Multiple Output) antenna and channel modeling, active phase
array antenna and beam pattern synthesis, RFID (Radio Frequency IDentification) tag antenna, metamatericals,
oscillator, and microwave circuit are investigated.
alumni
We-World
Qualcomm
are
professor,
Korea,
Satrec
Hyundai
company,
motors,
and
ETRI,
ADD
period
organization
13.02 ~ 14. 12
Samsung Thales
13.02 ~ 14. 12
ADD
15.03 ~ 16.02
ETRI
- 19 -
Masters Student: 4
Research Areas
Research at Microwave and Antenna Laboratory includes electromagnetic theories for antenna analysis, developing
antennas using new materials, and radar systems.
[Antenna Theory Analysis]
We theoretically and numerically analyze electromagnetic phenomena induced by new materials like nano-materials to
apply to a novel antenna technology.
[5th Generation Antenna Technologies]
We develop a core technology of active antenna systems to realize integral antennas in base stations and terminals for
5th generation or next-generation mobile networks.
[Weather Radar System]
We conduct research on a K-band (24.5 GHz) weather radar system. Also, its processing algorithms and structures using
digital radar systems are being developed in order to have higher resolution and sensitivity.
[Radar Surveillance System and Synthetic aperture radar(SAR)]
We have realized various radar systems using FMCW and Chirp signals. Based on existing radar systems and equipments,
various radar systems such as drone detecting system, vital sign measuring system, and channel measuring system for 5th
generation active antennas are being developed.
<Antenna System >
<mm-wave 5G Antenna>
<Beam-forming Antenna>
Microwave and Antenna Laboratory have undertaken a number of government-sponsored projects. Based on accumulated
research experiences, We pursue creative and future-oriented research. Prof. Seong-Ook Park makes leads us to write
decent papers on key technologies of our fields. Moreover, the labs atmosphere is fairly friendly and supportive, which is
the greatest strength of our lab.
Recent research achievements ('14~'16)
[1] International journals about 150, international conference about 130, domestic journals about 20, domestic conference
about 30, and international/domestic patents of 28.
[2] Chosen as a National Research Laboratory (NRL, 2002-2007) and ranked 1st in best ranking S in 2007.
[3] Excellence evaluation A from National Research Foundation of Korea (NRF) in 2016.
[4] Chosen as KAISTs Top 10 Research Achievements of 2015, drone detecting radar system.
- 20 -
TEL : 042-350-3453
Lab. :
TEL : 042-350-5453
E3-2 4234
Website : http://neps.kaist.ac.kr
Masters Student:: 5
Our research areas are mainly focused on Si-photonics and integration nano
-photonics and nano-electronics. These areas are promising areas since they
are available for high speed, low energy, small size, and high performance n
ext-generation technology for chip/sensor/system.
Silicon nano-photonics phased-array antenna
- To acheive self-driving car and robot, IR beam-forming phased-array chip application for image scanning
development for image scanning LiDAR
- IR radiator/antenna development based on Nano-photonics/surface-plasm
onics
- 2-D beam-forming technology and LiDAR system application development
based on Phased-array antenna
Figure 2. Optical phase shifter and waveguide switch based
on Si-photonics
[1]
Advanced
BEOL
interconnection (2013~)
[2] The first development of optical PCB system (2008) and technology
transfer (2009)
[2]
Development
of
electrically-controlled
optical
beam-forming devices based on 2-D nano-photonic
phased array for LiDAR application (2014~)
[3]
Contrivance
of
EM-tunnel-embedded
high-speed data transmission (2015~)
- 21 -
technology
using
optical
PCB
for
TEL : 042-350-3452
TEL : 042-350-5452/8052
Website : http://code.kaist.ac.kr
[E-mail: [email protected]]
Postdoctoral Fellows : 0
PhD Students: 5
Masters Student: 7
Research Areas
3D Image Display: Tunable Liquid-filled Microlens Array
The research on glassless three-dimensional image display is in progress to improve the
conventional 3D display with specific glasses. There has been some problems in
glassless 3D display system such as relatively narrow viewing angle and tiredness of
eyes.
To
solve
these
fundamental
issues
innovatively,
CODE
lab
has
developed
in
CODE
lab
include
surface
plasmon
resonance
(SPR),
near-infrared
Semiconductor
Electronics are
chance for a
LG Display
- 22 -
TEL : 042-350-7515
Website : http://yu.kaist.ac.kr
PhD Students: 3
Masters Student: 4
The Integrated Nanophotonics Laboratory actively researches on integrated optical circuit, optoelectronic device/system,
and photothermoelectric device based on optical phenomena in a nanostructure.
Ultrasmall optical device and integrated optical circuit
Development
of
fabrication
technology
makes
it
possible
to
realize
research
areas.
In
our
laboratory,
we
make
oxide-based
Electromagnetics
and
Antenna
Theory,
Semiconductor
abroad.
- 23 -
TEL : 042-350-5478
Website : http://rfss.kaist.ac.kr
PhD Students: 5
Masters Student: 5
Our laboratory's goal is researching efficient and stable RF system in wireless communication environment. For that, we
are analysing, researching RF system and antenna design. Depending on application, we make various RF frequency band
system and improve its problems, issues. Today's research topics are RF energy harvesting, wireless power transmission
using next generation wireless recharge standard, Smart antenna and massive MIMO antenna technique for next
generation wireless communication, beam forming antenna technique about sending and receiving signal to where we
want, NFC sending and receiving data in wireless, RFID, materialzation wireless data communication system like bluetooth,
etc.
- Next Generation Communication System
Interference between antennas increases radiation efficiency and mutuality between antenna so it decreases antenna's
performance. If we use pattern polarization MIMO antenna, we can get increased channel capacity and high gain of
MIMO. We research Smart array antenna's beam division MIMO, beam forming to get faster data transmission, increased
channel capacity.
- Wireless Power Transmission
Our laboratory develop wireless recharge system using A4Wp, Qi, NFC next generation wireless power transmission
standard. Today, wireless recharge for mobile device's issues are space freedom making charger enable recharge on
everywhere of it, Multiple charge with one charger. We apply wireless communication interface like bluetooth, so we can
get not only wireless power transmission, but also data about charge amount, receiver's state remotely.
Radio
Graduates
mobile
research
are
communication
courses
working
major
are
at
electromagnetics,
field
company
of
and
RF
and
national
periodically.
- 24 -
TEL : 042-350-3463
TEL : 042-350-5463
Website : http://photonet.kaist.ac.kr
PhD Students: 2
Masters Student: 5
Unconditionally secure communication system - Since the advent of information era, every devices (smartphon, PC, IoT,
etc.) have been connected to a huge network. Then, the secure issues have received considerable attention from the
devices to network. As existing solutions, mathematic algorithm based secure systems which assume the limited
computing power for adversary have been used. However, they cannot guarantee the security against quantum
computing. To solve the problem, we have researched for development of unconditionally secure communication by using
quantum physics or properties of optical source noise within the physical layer.
High speed optical fiber communications Recently, as dramatic increase of information traffic, wavelength division
multiplexing (WDM) is considered as a futuristic way to support those requirements and there are abundant investigations
currently. We have investigated cost effective WDM system and made it international standard for access network.
Currently we are investigating cost effective implementation of high speed system by using an injection-locked transceiver
and a multi-wavelength seed light source. The injection-locked transceiver has similar configuration with conventional
coherent transceiver but tunable light source for transmitter and local oscillator are replaced by low cost wavelength
keepable laser. Also the multi-wavelength seed light source such as optical comb is shared by multiple transceivers to
reduce system complexity and costs. We believe this WDM system combined with advanced modulation format and
coherent detection technique is powerful solution for high speed optical communication.
Development of port-agnostic WDM system in metro network - We are investigating optical transceiver for >100 Gb/s
based on mQAM. Especially, wavelength independent operation, format independent operation, and variable baud rate are
the major target of our research. We are expecting this investigation will be lead to optical software-defined
network(SDN) in the near future.
Wireless optical communication/Energy transfer Upcoming mobile generation requires high data rate above 10 Gb/s.
With high directivity of laser, the stable wireless communication is achievable compared with radio waves (millimeter
waves). Additionally, long distance power transmission is effectively realized with opto-electronics.
Recommended courses & Career after graduation
Electromagnetism, Optics, Photonics, Optical Electronics,
weekly.
Additionally, we have book review on various categories for
or
prestigious
main
focus
of
our
work
will
be
research
and
development(R&D)
of
optical
communications/networks including wireless networks, secure communications including Quantum key distribution, optical
sensors, and Photonic ICs.
Furthermore, Professor Lee was appointed as IEEE Fellow in 2010. Additionally, one of our project, low-noise
multi-wavelength optical source, was selected as a top result among 100 selected researches in 2014.
Recent research achievements ('14~'16)
[1] International journal : 6 / International conference : 6
[2] Commendation from minister, A top result among 100 selected researches National R&D (2014)
- 25 -
TEL : 042-350-7439
Lab. :
TEL : 042-350-7539
E3-2 #2222
Website : jlab.kaist.ac.kr
E-mail :
- 26 -
PhD Students: 2
TEL : 042-350-3456
TEL : 042-350-7633
Website : http://optolab.kaist.ac.kr
Masters Student: 2
Research Areas
- 27 -
Postdoctoral Fellows : 2
PhD Students: 16,
Masters Student: 6
Deep Neural Network
Deep convolutional neural network is recently shows huge impact in vision society. Our AttentionNet is a novel
detection method which solves box-regression problem as an iterative classification problem. AttentionNet provides
quantized weak directions pointing a target object and the ensemble of iterative predictions from AttentionNet
converges to an accurate object boundary box. We extend AttentionNet to a multi-class version, named
Multi-class AttentionNet, and achieve Top-5 CLS-LOC error of 14.73% in ILSVRC 2015 which is significantly
outperforming the previous winner in ILSVRC 2014 (26.70% by Google) by a gain of +12. Even if we used only a
single Multi-class AttentionNet, we took fifth place in the competition among the 23 competitors.
Intelligent Robots
Using various 2D/3D sensors, research on the recognition and tracking of multiple moving objects is currently being
undertaken. Also, technologies related to the real-time mapping and navigation of new environments and the sensing on
humanoid robots are being researched. We participated in the competition as members of team KAIST for developing
vision system of DRC-HUBO+. We calibrate the sensor systems, and increase the quality of depth information through
the depth upsampling. We made predicted driving trajectory for convenient remote control, and estimate valve and drill
pose for fast and accurate manipulation. We detect toeholds, and estimate their pose for stable robot walking. At last,
we also designed a software architecture for effective vision system.
Occluded view
See-through view
Autonomous Vehicle
Various events are held within the lab. For the cultivation of
NASA-Ames,
CMU
etc),
attendance
in
major
conferences
- 29 -
TEL : 042-350-3490
Masters Students : 4
TEL : 042-350-8172~4
Undergraduate Students : 2
Staff : 1
Research Areas
- Deep Learning
Object recognition
Human activity recognition
Visual tracking
Neuromorphic computing
- Neuro-Imaging
Brain reading
Brain information processing
Intention decoding
- Neuro-Technology
Next Generation UI / UX
Neuromorphic engineering
Our laboratory aims to understand how the brain functions and use the knowledge to build an artificial brain close to
human brain performance. Many studies using fMRI and EEG are being carried on in the Neuro-imaging group to
understand the brain, while in the neuro-technology group we are building new types of interface that can give
fascinating experiences to users based on the understanding of the brain. Also in the deep learning group, we aim to
build robots with artificial cognitive abilities by coming up with machine learning algorithms of human level performance.
Recommended courses & Career after graduation
We
recommend
Introduction
Machine
to
laboratory
Brain
Learning,
IT,
candidates
[EE635]
Information
to
take
[EE532]
Functional
Brain
Imaging,
Theory,
Neuro-robotics,
Signal
Contest,
KOLON
Styling
Class).
Also,
he
has
friday,
we
have
regular
physical
exercise
- 30 -
TEL : 042-350-7419
TEL : 042-350-7519
PhD Students: 6
Masters Student: 6
The research areas of VIC Lab include Perception and Machine/Deep Learning based 2D/3D Video Coding, Machine/Deep
Learning based Image Processing and Understanding, Image Quality Assessment and Modeling, and Pattern Recognition.
2D/3D Perception and Machine/Deep Learning based Video Coding
Based on perception characteristics of Human Visual Systems
(HVS), we are carrying out effective 2D/3D video
compression methods. From this, the signals of imperception
can be removed so that high coding efficiency can be
achieved. We look forward the technologies under develop
for international standardization. Recently, we were granted
Best HEVC Encoder Optimization award in 2013 Picture
Coding Symposium.
Machine/Deep learning based Images/Video Processing
Recently, machine and deep learning has drawn much
attention in image/video processing and computer vision
areas. In VIC Lab,
image super resolution
is being studied based
on machine learning
and deep convolutional neural networks (CNNs) and has successfully achieved 4K
and 8K UHD image reconstruction of very high quality. We are currently working
with globally leading companies with SR technologies for industry applications such
as UHDTV and UHD video streaming. In addition, we are studying CNN-based
automatic target recognition (ATR) for Synthetic Aperture Radar (SAR) imagery.
Machine Learning based Video Quality Enhancement
Video sequences of low frame rates often suffer from judder which lowers
perceptual image quality. To improve perceptual image quality, frame rate up
conversion is important which we study based on machine/deep learning. This
research brings out high impact in industry applications in smart phones and UHD
TV products. Beside, recently, high dynamic range (HDR)
images has been recognized as a very attractive point in
TV markets. However, since low dynamic range (LDR)
displays are prevail, HDR video contents must be converted
on HDR displays with dynamic range expansion of very
high visual quality by fully incorporating HVSs perecption
characteristics into LDR-to-HDR inverse tone mapping (ITM).
We are studying high performance ITM algorithms which
can easily be applied for commercial products. Also, tone
mapping of HDR-to-LDR is being studied.
Recommended courses & Career after graduation
- 31 -
TEL : 042-350-3430
TEL : 042-350-5430
Website : http://sdvision.kaist.ac.kr
Masters Student: 2
- 3D Coding
- Visual hull-based Geometric Data Compression of a 3D object
- Efficient Depth Compression based on Partial Surface for 3D object represented by layered depth image
- 32 -
TEL : 042-350-3448
TEL : 042-350-8048
Website : http://rit.kaist.ac.kr
5448, 8877
PhD Students : 13
Post-Masters Fellow : 1
Research Areas
Masters Students : 13
Cognitive Intelligence
Ambient Intelligence
Intelligence Operating
Architecture (iOA)
Collective Intelligence
Behavioral Intelligence
Social Intelligence
Industries,
Mobis,
Agency
LG
for
Electronics,
Defense
Hyundai
Development,
Motor
Company,
Electronics
and
HSR-X
Mybot-KSR2 Mybot-KSR
Hyundai
Samsung
National Projects
1. Development of robot task intelligence technology that can perform task more than
80% in inexperience situation through autonomous knowledge acquisition and
adaptational knowledge application (since June, 2013)
2. Technology development of virtual creatures with digital emotional DNA of users
(since April, 2014)
3. Intelligent interactive technology based on intelligence operating
architecture: I2T-iOA (since November, 2014)
Other Projects
1. Machine learning based self-inspection and surface defect detection
research (since September, 2016)
2. 3-D fine motion sensor and platform based on impulse radar (since April, 2016)
- 33 -
Building #210
Building #214
TEL : 042-350-3488
TEL : 042-350-8088
Website : http://siit.kaist.ac.kr
Current state of the Lab. (in 2016 Fall
Semester)
Postdoctoral Fellows : 0
PhD Students: 18
Masters Student: 10
Research Areas
Our laboratory conducts research in areas such as image processing, computer vision, and machine learning, based on
mathematical theories regarding probability & statistics, signal processing and information theory. It draws on various
inferences and learning phenomena
reasoning
that
occur
in human
and learning and develops various algorithms that computer can perform. Deep Neural Network, which has
become a hot
topic in recent years, addresses various computer vision tasks such as classification and detection, and
learning.
Theory
- Machine Learning
- Deep Learning
- Statistical Analysis of Shapes
Recognition
Object Recognition
computer
vision,
image
understanding,
research
and
not
academia.
only on campus.
creative
them.
training
In
addition,
opportunities
expand the scope of research and raise awareness of challenges, such as allowing a large number of research
members to attend international conferences every year.
Recent research achievements ('14~'16) - Selected Publications
[1] Jiwhan Kim, Dongyoon Han, Yu-Wing Tai, and Junmo Kim, "Salient Region Detection via High-Dimensional Color
Transform and Local Spatial Support." Image Processing, IEEE Transactions on 25.1 (2016):9-23.
[2] Wonjun Hwang and Junmo Kim, "Markov Network-based Unified Classifier for Face Recognition." IEEE Trans. on
Image Processing, Vol. 24, No. 11, pp. 4263-4275, Nov.,
2015.
[3] Gayoung Lee, Yuwing Tai, Junmo Kim, "Deep Saliency with Encoded Low level Distance Map and High Level
Features",
IEEE International Conference on Computer Vision and Pattern Recognition (CVPR), 2016.
- 34 -
lab
Professor :
(N1)413
TEL : 042-350-7421
Lab. :
(N1)419
TEL : 042-350-7521
Website : http://cilabs.kaist.ac.kr
Current state of the Lab. (in 2016 Fall Semester)
Postdoctoral Fellows : 0
Research Areas
PhD Students: 9
Masters Student: 7
Medical imaging
-Heart rate measurement, proximal dental caries detection, overlapped nuclei segmentation
Image processing
-Image super-resolution, image enhancement, document image processing
Project name
Super-resolution algorithm and image understanding for
video data streaming environment
Content-based image retargeting and mobile scanning
Lesion detection and visualization using deep learning
Development of context-based sport video analysis,
summarization, and retrieval technologies
Term
Sponsor
2013.12~2017.02
Samsung electronics
2014.10~2017.09
2016.08~2016.12
Hancom
KAIST (End Run)
2016.03~2018.12
structure
for
electrical
engineering,
probability
and
-Elective
subjects:
engineering,
linear
pattern
systems,
recognition,
statistical
communication
learning
theory,
lab has become one of the most sought after for many
laboratories.
image processing,
-Career after graduation: major company, national research
institute, overseas research institute, university, post doctor.
Introduction to the Lab.
Although, our lab has a short history of 10 years, we conducted a variety of projects and learned a lot of academic
achievements. All of the lab members are doing academic and
is constantly investigating the latest advances in the technology. Because of his interests and encouragements, students
can focus on the academic researches.
Recent research achievements ('14~'16): International journal : 12, International conference : 13.
Ilkoo Ahn and Changick Kim, "Face and Hair Region Labeling Using Semi-Supervised Spectral Clustering Based Multiple
Segmentations," IEEE Transactions on Multimedia, vol. 18, no. 7, pp. 1414-1421, July 2016.
Chanho Jung and Changick Kim, "Impact of the Accuracy of Automatic Segmentation of Cell Nuclei Clusters on
Classification of Thyroid Follicular Lesions," Cytometry Part A, vol. 85, no. 8, pp. 709-718, Aug. 2014.
- 35 -
TEL : 042-350-7417
TEL : 042-350-7617
Website : http://sssclab.kaist.ac.kr
Current state of the Lab. (in 2016 Fall Semester)
Postdoctoral researcher : 1
Research Areas
Ph.D Students : 4
Masters Students : 4
Our laboratory is studying pattern recognition based on machine learning and deep learning
for speech such as speech recognition, speaker recognition, emotion recognition, and speech
synthesis. We are also researching voice and audio related fields such as noise reduction,
voice segment detection, voice signal processing such as voice quality improvement, audio
and multimedia indexing and searching.
Speech Recognition is a technology that converts input speech into words or sentences. It
helps humans communicate more naturally with computers or machines. In recent years, as
the weight of speech recognition has increased in our lives due to the emergence of
smartphones, autonomous vehicles, and the Internet of Things, our laboratory has developed
a preprocessing and post-processing methodologies.
In addition, we have studied a speaker recognition system for recognizing user 's identity
and
natural
consistency
language
of
processing
recognized
based
phoneme
language
strings.
We
modeling
are
also
to
compensate
studying
speech
syntactic
synthesis
Digital
Probability and
Introductory
Random Processes,
also
domestic
and
overseas
research
institutes
and
universities.
Introduction to the Lab.
SSSCLAB carries out various projects related to voice and sound signal processing, and accumulates rich practical
experience with it, and has achieved excellent academic research results. In addition, we provide stable financial support
and a comfortable research environment so that students can continue their studies and research activities. Under the
guidance of Professor Kim, Hoirin, we would like to ask you lots of creative and active new students who will be the
future leaders of voice and sound signal processing.
Recent research achievements ('14~'16)
[1] Jahyun Goo, Younggwan Kim, Hyungjun Lim, and Hoirin Kim, Speaker normalization through feature shifting of
linearly transformed I-vector, in Proc. Interspeech, Sep. 2016.
[2] Hyungjun Lim, Myung Jong Kim, and Hoirin Kim, Cross-acoustic transfer learning for sound event classification, in
Proc. ICASSP, Mar. 2016.
[3] Youngjoo Suh and Hoirin Kim, Probabilistic class histogram equalization based on posterior mean estimation for
robust speech recognition, IEEE Signal Process. Letters, 22(12), 2015. (SCIE, Impact Factor: 1.674)
[4] Younggwan Kim, Myung Jong Kim, and Hoirin Kim, Scaled norm-based Euclidean projection for sparse speaker
adaptation, EURASIP J. Advances in Signal Process., 2015(102), 2015. (SCIE, Impact Factor: 1.053)
[5] Myung Jong Kim, Joohong Yoo, Younggwan Kim, and Hoirin Kim, Speech emotion classification using tree-structured
sparse logistic regression, in Interspeech, Sep. 2015.
- 36 -
TEL: 042-350-3434
TEL: 042-350-5434
Website: http://issserver.kaist.ac.kr
Ph.D. Students: 5
Master Student: 2
Research Areas
Image and video super-resolution
In the image acquisition process, an image resolution is usually limited due to the
hardware system. In some cases, therefore, low resolution images are only available for a
high resolution display panel of HD or UHD size image. Various super resolution (SR)
algorithms were developed to generate a high resolution image by using a single low
resolution image or multiple low resolution images. Recently, deep learning based SR is
explored and receives a lot of attention in image processing field, because of its prominent
performance. To produce a clear and high quality image with a high resolution, our lab
carries out the research on this topic and is having some promising results.
Cardiac X-ray CT imaging
X-ray CT imaging system can provide the anatomical information of human body
with faster time and higher resolution than the other systems, such as PET, MRI,
and SPECT. However, the cardiac imaging is still very difficult because the heart
image usually suffers from severe motion artifacts due to its rapid and continuous
motion, which can lead misdiagnosis. In our lab, to obtain the diagnostic cardiac
image
without
motion
artifacts,
the
research
on
motion
estimation
and
- 37 -
PhD Students: 9
TEL : +82-42-350-3494
TEL : +82-42-350-8094
Website : http://ivylab.kaist.ac.kr
Masters Student: 9
Happy
SMILE
Stereo pair
Stereo pair
Disoccluded
region
- 38 -
: #4101 LG Hall
TEL : 042-350-8075
Website : http://power.kaist.ac.kr
: #4101 LG Hall
Master Candidates : 7
Research Areas
Power Supply for Data Center Research
This research proposes new topologies and control schemes to obtain a
high efficiency in a data center power supply, overcoming the limitations of
previous researches.
Friendly
Vehicles
(EFV)
essentially
have
rechargeable
components of EFV.
BMS(Battery Management System) with Battery Cell Balancing Circuit
To increase the battery power, individual battery cell is connected in
serial-parallel
structure.
As
the
number
of
charging/discharging
periods
increases, the unbalanced cells are faced to the limit with the use of the
battery power. Therefore, the cell balancing circuit is required to prevent the
- 39 -
Masters students: 5
Research areas
- Medical imaging
We have our own state-of-art 3T MRI system on site, and are working on various MR imaging techniques such as fast imaging,
reconstruction, motion gating, multi-contrast imaging, and RF design methods.
Temporal
interpolation
Temporal
interpolation
The courses relevant to our research are signals and system, digital signal
students.
[2] Awards
nd
Samsung
- 40 -
PhD Students: 8
TEL : 042-350-5470
Website : http://slsp.kaist.ac.kr/
Masters Student: 8
Machine learning is primarily the development of learning algorithms that go beyond the capabilities of humans, and
statistical methods are often used. In this laboratory, various machine learning methods such as deep learning are used to
extract meaningful information from the observed signal, and to manufacture and represent it. Some of the various
applications in related field will be introduced.
Machine Learning for Image Processing
- Face detection and recognition : These technologies are core of HCI in addition to speech recognition. Facial
recognition can provide appropriate services for users, and large images can also be used to manage data face by face.
- Image segmentation : As technology that divides the image into meaningful groups, it is the most important underlying
technology for recognizing objects on the image or for categorizing the images themselves.
Machine Learning for Audio Processing
- Speech recognition : It is a research field that recognizes natural human speech. It builds statistical models of phonemic
units and connects them to enable sentence-level recognition. Recently, it has been gaining popularity as a smartphone
interface technology.
- Music information retrieval : There is a need for a system to efficiently manage and search large music contents.
Techniques that use features such as melody, beat, and chord are important from the existing metadata and can be
applied to plagiarism detection.
- Direction of arrival estimation : It is a technique for estimating the direction of a sound source. It is used not only for
voice but also for seafloor exploration.
The SLSP laboratory sets the time every week and all the
various
and
fields.
We
are
engaged
in
differentiated
- 41 -
TEL : 042-350-3431
Lab. :
ITC B/D 518
Website : http://cnsl.kaist.ac.kr
Masters Students:3
Staff: 1
Research Areas
The Computational Neuroscience Laboratory (Electrical Engineering and Brain Science Research Center) studies
mathematical models of brain information processing mechanisms, and intelligent robots (artificial cognitive systems) and
brain-machine interfaces based on them.
(1) Deep Learning for Korean Language and Knowledge Development
- Developments of deep/recurrent neural architectures and learning algorithms for Korean language
- Word/sentence/document representations, synonym suggestion, automatic sentence completion/suggestion, semantic
document search, and document summarization
(2) Understanding Human Internal States (Mind): I Know What You Think
- Measurements of human internal states (Agreement/Disagreement to others, Trustworthiness of others) by fMRI and EEG
- Utilizing human internal states for new Human-Computer Interface
- Effects of human-like cues (such as facial expression) to human trust with machine
(3) Brain-based User Authentication: I Know Who You Are
- User authentication (verification) and identification based on personal memory and intention
(People may be identified by his/her memory.)
- Absolutely-safe from rubber hose attack
(4) Situation Awareness
- Situation awareness based on environmental sound
students
from
foreign
universities
(Swiss
ETH
Neuroinformatics
Research
Institute)
and
research
institutes (RIKEN Brain Science Institute in Japan, CNR
- 42 -
Professor :
Lab. :
TEL :
TEL :
PhD Students: 0
Masters Student: 4
Research Areas
Control, robotics and machine learning.
feedback control
optimization
Feedback integrators
Damping-induced self-recovery
Interconnection and damping assignment and passivity-based control for the stabilization of robotic systems
- 43 -
Research activities
As we will conduct our researches under collaborations with
various fields of researchers in various countries including
EU countries, USA, Singapore and Japan, our research
environment will be quite interdisciplinary and international.
Lab. advertisements
The lab. has just started in May 2012. Therefore, we look for enthusiastic graduate students who are extremely interested
in the aforementioned research activities. Research is fun!!
Main research achievements
[1] S. Murata, J. Namikawa, H. Arie, S. Sugano, and J. Tani: "Learning to reproduce fluctuating time series by inferring
their time-dependent stochastic properties: application in robot learning via tutoring", IEEE Trans. on Autonomous Mental
Development, Vol. 5, No 4, pp. 298-310, 2013.
[2] M. Jung, J. Hwang, and J. Tani: Self-organization of spatio-temporal hierarchy via learning of dynamic visual image
patterns on action sequences, PLoS One, Vo. 10, no.7, e0131214. doi: 10.1371/journal.pone.0131214, 2015
[3] J. Tani: Exploring Robotic Minds: Actions, Symbols, and Consciousness as Self-Organizing Dynamic Phenomena., New
York: Oxford University Press, 2016.
- 44 -
PhD Students: 1
TEL : +82-42-350-7435
TEL : +82-42-350-7535
Website : http://sound.kaist.ac.kr
Masters Student: 6
Research Areas
The smart sound system laboratory has been doing research on holographic
audio, sound field control and acoustic imaging through acoustics and signal
processing. We study signal processing and sound field control using a speaker
array and a microphone array such as array transducers to control the shapes of
physical
waves(speaker
part)
and
extract
information
from
physical
that will appear in the near future to broadly intelligent sound/noise control system
for car and military owned combat vehicles and new sensors/interfaces which
belong to all kinds of sound related systems.
[Theory]
- Acoustics, Wave propagation
<Smart sound systems>
We recommend signal processing based courses (Signal and system/DSP) and sound
besides research
graduation, you can advance into IT related companies and LAB, SAMSUNG/ICT
swimming
processing, and also you are able to work for a wide range of fields including
winter
Electronic/Mechanic/Aerospace/Defense
Metrology
Institute/Institute
of
science
Machinery
etc
and
as
like
HYUNDAI/National
Materials/Agency
for
Defense
or
personal
workshops
with
training
various
Development etc.
Introduction to the Lab.
The field of sound and vibration control is a multidisciplinary field that facilitates the integration of culture-human
sensibility technology with traditional technology like communication/mechanical/aviation fields. The most important thing
is the spirit of challenge to cultivate new fields through innovative ideas based on a strong theoretical foundation. The
laboratory aims at an autonomous atmosphere that excludes authority and places self-motivation and creativity at the
forefront. Research on 'Smart holographic audio system with graphene sound sticker speaker and smart sound network'
has been selected as the first ICT convergence project of Samsung Future Technology Development Center.
Recent research achievements ('14~'16)
[1] "Application of N-Doped Three-Dimensional Reduced Graphene Oxide Aerogel to Thin Film Loudspeaker," ACS Appl.
Mater. Interfaces, vol. 8, no. 34, pp. 2229522300 (2016).
[2] "Reproduction of a higher-order circular harmonic field using a linear array of loudspeakers," Journal of the Acoustical
Society of America (JASA), Vol. 137, EL227 (2015);
[3] "SELF-CONFIGURABLE LOUDSPEAKERS WITH HUMAN TRACKING ABILITY," in Proc. of ICSV 23 10-14 July, 2016.
- 45 -
PhD Students: 12
Masters Student: 6
aggression,
communication
and
network-related
subjects,
and
- 46 -
TEL : 042-350-3454
TEL : 042-350-5454
Website : http://smslab.kaist.ac.kr
PhD Students: 5
Masters Student: 1
Research Areas
System Modeling & Simulation
- Mathematical modeling methodology for
various
systems,
such
system,
continuous
as
system,
Examples
Discrete-event
and
hybrid
system.
- Simulation methodology for an efficient
simulation and simulation speed-up.
- Applications
Interoperation
- Interoperation
War-game simulation
methodology
between
Virtual-Constructive
interoperation
Hybrid system
framework
Examples
Effectiveness analysis
based on interopration
- 47 -
Website : http://www.ndsl.kaist.edu/
Masters Student: 3
Undergraduate Researcher: 1
Research Areas
Networked and Distributed Computing Systems Laboratory (NDSL) conducts research on topics pertaining to the design and
implementation of networked computing systems. The goal of our research is to find the novel system design principles that
fundamentally enhance the performance, scalability, security of modern computer systems. More specifically, we are currently
interested in utilizing commodity computing hardware such as GPUs and multicore CPUs to design next generation of
high-performance, robust networked and distributed systems.
Current Research Topics and Projects
Scalable, high-performance software network system
- Flexible and reusable software-based middlebox networking stacks and virtual switch platforms
- Manycore processor-based (GPU/APU) high-performance routers and intrusion detection systems
Multimedia network streaming system
- Network-adaptive video encoding algorithms and multimedia streaming systems
Mobile network system and network security
- Internet of Things (IoT) platform security
- Delay-tolerant networking (DTN) based efficient content transfer systems
High-performance, distributed maching learning system
- Efficient parallel computation machine learning algorithms and systems
- Minimizing training time by designing a GPU cluster-based high-performance distributed machine learning engines
Recommended courses & Career after graduation
System
Computer
Programming
Science
and
courses
other
that
Electrical
will
help
Engineering
or
understand
the
- 48 -
TEL : 042-350-3464
TEL : 042-350-5464/8064
Website : http://mtelab.kaist.ac.kr
(http://mtelab.kaist.ac.kr)
- IP forwarding technology
- Protocol engineering for open network
Currently, we are focusing on the specific subject as
- Communication Technology using Bio-inspired Algorithm
- Trusted Information Infrastructure S/W Framework for Realizing
Trustworthy IoT Eco-system
- Correlation derivation between QoS and QoE for video service
Fig.2 Correlation derivation between QoS and QoE for video service
Digital
networks,
Switching
Applications,
and
Technology,
Dynamical
Computer
Processes
in
Complex
and juniors.
- 49 -
TEL : 042-350-3439
Lab. :
TEL : 042-350-5439
Website : http://cnr.kaist.ac.kr
Current state of the Lab. (in 2016 Fall Semester)
Postdoctoral Fellows : 0
Ph.D Students: 7
Masters Student: 1
Research Areas
1. 5th Generation Cellular Machine-to-Machine (M2M) Communications
In the near future, a massive number of machine devices will be connected to cellular networks, and these devices
may cause severe overload to the cellular network. Therefore, we have studied enhanced random access and radio
resource management technologies for accommodating a significantly large number of machine devices through
LTE/LTE-A cellular networks.
Random Access
Radio Resource Management
Grouping/clustering Algorithm
Hybrid ARQ
Massive MIMO
- 50 -
Website : http://nss.kaist.ac.kr
Current state of the Lab. (in 2016 Fall Semester)
PhD Students: 8
Research Areas
Masters Student: 3
Software-Defined Networking (SDN) is an innovative and promising future networking technology that has rapidly gained
significant attentions from both academia and industry. We research into not only ways of developing SDN security but
also base network architecture. Also, some other well-known network security issues such as Internet of Things (IoT)
security, Botnet are addressed in our laboratory as well.
- DELTA: SDN SECURITY EVALUATION FRAMEWORK
Delta is a new SDN security evaluation framework with two main functions: (1) It can
automatically instantiate attack cases against SDN elements across diverse environments,
and (2) it can assist in uncovering unknown security problems within an SDN deployment.
This project is in progress as one of development projects for Open Networking
Foundation (https://github.com/OpenNetworkingFoundation/DELTA).
- Security-Mode ONOS
Security-Mode ONOS can be enabled to enhance the robustness of the network
environments controlled by ONOS, popular open-source SDN controller. For those who
wish to configure ONOS to behave in a conservative manner, security-mode ONOS
provides two features that could be applied to ONOS: Application Authentication,
Role-based/Permission-based Access Control (Least privileged applications).
This project is in progress as one of development projects for ONOS and we are
collaborating with SRI International (https://wiki.onosproject.org/display/ONOS/Security-Mode+ONOS).
- Athena: Network Anomaly Detection Framework for SDN
This project represents one of many ongoing research efforts that seek to develop new
SDN-based network anomaly detection services. However, here our focus in on a
development framework that scales to large networks that employ multiple controller
instance across a distributed control plane. Athena, which exports a well-structured
development
interface
to
overcome
existing
challenges
allows
network
operators
programming,
operating
system,
system
members
spend
their
time
freely
anywhere
they
want
- 51 -
TEL : 042-350-7432
Lab. : N1-914
Website : nia.kaist.ac.kr
PhD Students: 8
Masters Student: 6
Research Areas
n Machine Learning and Algorithms
- Deep Learning
- Statistical Inference
- Reinforcement and Online Learning
- Computer Vision
- Large-scale Optimization and Computation
- Theoretical Computer Science
Development of Social Networking Service and increase of mobile device has lead to a
big data era, where a massive amount of data is generated at every moment we live.
Our laboratory aims to analyze and anticipate such massive amount of data by machine
learning or deep learning. We choose to focus on using a more fundamental and
mathematical theories in order to carry out our research. In addition we are developing
various applications based on our research, which includes using image, video, voice and
Social Netowrking Service data.
Our laboratorys goal is to produce a researcher with outstanding and confident skills.
We emphasize on basic qualifications that researchers should have, and machine
learning researches based on such qualifications.
Recommended courses & Career after graduation
- 52 -
TEL : 042-350-3495
TEL : 042-350-7261
Website : http://ncl.kaist.ac.kr
PhD Students: 9
Masters Student: 8
Research Areas
Major research areas of Network and Computing Lab. (NCL, http://ncl.kaist.ac.kr) are high-performance collaborative computing platforms (Cloud, Internet of
Things, Tele-experience as a Service, Connected Car), Deep Learning Platform (High-performance Computing (HPC) Interconnect) and integrated resource
management service broker (middleware). While operating Information Technology Research Center (ITRC) 'Grid Middleware Research Center', NCL produced
significant research results in resource optimization of collaborative clouds, ultra high performance computing platform and high performance scientific
application service with management service broker development. Currently NCL is conducting future computing core technology R&Ds: 1) Deep Learning
HPC System development based on heterogeneous accelerators, 2) Real-time distributed computing environment research for smart car which utilizes high
performance cloud computing, 3) Software platform technology development for Tele-experience service based on Giga media, 4) Cooperative technology
research for national supercomputer development, etc.
Research in progress
1) Deep Learning HPC System development based on heterogeneous accelerators (From Apr 2016, Co-op with Electronics and Telecommunications Research
Institute (ETRI) and Seoul National Univ.)
Aims at Enabling high-speed training on heterogeneous multi-accelerator through study on large-scale distributed/parallel processing of deeplearning
platform such as Caffe and Tesnorflow. We also define Deep Learning-Model Description Language then develop multi-layered interface for
computation/data.
2) Real-time distributed computing environment research for smart car which utilizes high performance cloud computing (From Dec 2015, Hyundai Motor
academic-industrial research project)
We verify if the concept of real-time distributed computing application processing between the connected vehicles and the center is valid. Modeling
connected car networking environment and verifying can be applied to various connected car application through simulation.
3) SW platform technology development for Tele-experience service based on Giga media (From June 2013, Co-op with ETRI and small IT enterprises)
We compose distributed cloud which supports service scalability and accessibility. Also, we build up docker-based distributed Multipoint Control Unit
(MCU) which enables lightweight service deployment. On that, we develop Docker Cloud Resource Management (DCRM) broker which supports Quality of
Service (QoS) service scaling, service migration and request patching.
4) Cooperative technology research for national supercomputer development (From Mar 2016, Co-op with Korea Institute of Science and Technology
Information (KISTI), ETRI and other KAIST Labs)
High-performance Computing System core technologies for low-power, high-performance computing
Recent research achievements (Distinguished published paper in Y2016. Refer to lab homepage for further achievements)
[1] D.-K. Kang, Fawaz Al-Hazemi, S.-H. Kim, M. Chen, L. Peng, C.-H. Youn, Adaptive VM Management with Two Phase Power Consumption Cost Models in
Cloud Datacenter, to appear in Mobile Networks and Applications, 2016.
[2] Y Peng, Fawaz Al-Hazemi, H Kim, C.-H. Youn, Design and Optimization for Energy-Efficient Cooperative MIMO Transmission in Ad Hoc Networks, To
appear in IEEE Transactions on Vehicular Technology, 2016.
[3] Y Peng, Fawaz Al-Hazemi, H Kim, C.-H. Youn, "Joint Selection for Cooperative Spectrum Sensing in Wireless Sensor Networks." IEEE Sensors Journal 16.22
(2016): 7837.
[4] S.-H. Kim, D.-K. Kang, W.-J. Kim, M. Chen, C.-H. Youn, A Science Gateway Cloud with Cost Adaptive VM Management for Computational Science and
Applications, IEEE Systems Journal, Feb. 2016, Online Published.
[5] Y Peng, D.-K. Kang, Fawaz Al-Hazemi, C.-H. Youn, Energy and QoS aware resource allocation for heterogeneous sustainable cloud datacenters, To
appear in Optical Switching and Networking, 2016.
- 53 -
PhD Students: 8
Professor : #810 N1
TEL : 042-350-3486
Lab. :
TEL : 042-350-5486
#819 N1
Website : http://lanada.kaist.ac.kr
Masters Student: 6
skills.
treatment.
Introduction to the Lab.
Our laboratory have been seeking Creativeness and Freedom in research. Through free discussion and communication
between professor, senior and junior, we encourage our students to find new idea and solutions of problems and study
together. Whenever we want to discuss with the adviser, we can have opportunity to meet adviser. When the meeting starts,
time passes quicky more than 2 or 3 hours. Above all, we help students enjoy their life in laboratory.
Our laboratory try its best for globalization of the level of research. Without going overseas to study, our laboratory tries to
graduate students who have competitive power ,at least, as much as overseas-educated. We send the Ph.d candidate students
to the leading universities and laboratory around world as well as United states. Actually, The doctos who graduate from our
laboratory go into the course of Post-doc at the leading universities in the United states. For more details, please refer to our
homepages.
Also, we encourage domestic or international joint research. Especially, we make an exachange with world class laboratories.
- U.S.A. : Princeton, U.T. Austin, North Carolina Univ., Arizona State Univ., Alcatel Bell Labs, Los Alamos National Lab, etc.- Europe :
K.U. Leuven (Belgium), Microsoft Research U.K. (U.K.), KTH, (Sweden), NTNU (Norway),- Asia : CUHK, HUST (Hong Kong)
Recent research achievements ('14~'16)
[1] Present and publish the research result on top tier conferences and journals every year. (ex: IEEE Transactions on
Information Theory, IEEE Infocom, ACM Sigmetrics)
[2] Technical program committee of top tier conferences in network area. (ex: IEEE Infocom, ACM Mobihoc)
[3] Best paper award at top conference in network area. (ACM MobiHoc, IEEE SECON)
[4] Various award (Samsung Humantech paper award, Qualcomm fellowship award, etc.)
- 54 -
TEL: 042-350-7416
Lab.:
TEL: 042-350-7516
Website: http://cane.kaist.ac.kr
Research professor : 1
PhD Students : 9
Masters Students : 4
Research Areas
CANE, to pioneer the leading ICT technologies!!
Quantum Information Security & Computing
Quantum computing has a potential to solve problems faster than classical computing by using
qubit as a unit of information. Furthermore, quantum computing is expected to solve the
problems that are not easily solved by classical computing. Especially, led by many leading
countries like US, Europe, Canada, China, and Japan, a variety of researches are funded as major
national efforts with several billion dollars a year world-wide. Korea is also seeking national
efforts to develop strength in this area with a focused long-term vision.
CANE lab is interested in two research topics in this area: quantum key distribution (QKD) and
quantum machine learning (QML). As quantum secure communication, QKD is one of the most
developed technology in this area to provide unconditionally secure encryption key sharing
among many network devices, such as with continuous variable quantum state transmission. A
quantum information system matches very well with deep machine learning systems, but with
exponentially large computation capacities, which can push machine learning intelligence to that
of humans at a very low cost and energy consuptions.
network to achieve efficient content delivery on 5G cellular network. In particular, delay tolerant
network (DTN) concept delivery is performed through a combination of high-speed optical
network and buffered OFS technology to improve network performance. We also study OFDMA
RAN technology as core technology of optical communication super-channel for ultra high-speed
optical transmission. In addition, we study how to operate CDN more efficiently at user terminal
Superchannel
by utilizing D2D communication infrastructure adopted as 5G standard and designing new service
scenarios.
courses
are
data
communication,
linear
algebra,
- 55 -
TEL : 042-350-3473
TEL : 042-350-5473/4445
Website : http://netsys.kaist.ac.kr
Current state of the Lab. (in 2016 Fall Semester)
Postdoctoral Fellows : 0
Research Areas
PhD Students: 5
Masters Student: 3
and
methodologies
for
comprehensive
network.
and
Random
Process,
Communication
summer and going to ski for winter. Also have annual lab
lab webpage.
Introduction to the Lab.
The Network Systems Laboratory(NSL), led by Prof. Song Chong, was established in March 2000 as part of Department
of Electrical Engineering and Computer Science at Korea Advanced Institute of Science and Technology (KAIST). Our
research position is to develop fundamental design concepts and methodologies for wireless networks and high speed
networks. Prof. Chong is a leading expert in the area with more than 15 year experience in both industry (AT&T Bell
Labs, Holmdel) and academia (The Univ. of Texas at Austin, Sogang Univ. and KAIST).
Recent research achievements ('14~'16)
[1] Published paper on various top tier journals & conferences IEEE infocom, IEEE Secon, IEEE trans. on Mobile
Computing, Networking, etc.
[2] Research awards : 2016 IEEE William R. Bennett Prize Paper Award, 2016 Haedong Grand Prize Research Award, 2016,
2015 Samsung Humantech paper award, 2016, 2015 Qualcomm Innovation award, etc.
- 56 -
PhD Students: 7
Masters Student: 1
Research Areas
Trust provisioning techniques for trust-based ICT infrastructure
Trust data analysis and Visualization for Internet of Things
Trustworthy communication techniques with self-identifying identifier
Web-based data and media delivery and management for knowledge society
environment
Data tagging for effective IoT data pre-processing
Virtual object instance hosting on WiFi router for IoT device control
Browser-based Web contents and multi-screen sharing system
5G communication with bio-inspired algorithm
Content cache allocation, searching and optimization for WiFi-based mesh network
Cache synchronization for real-time service on WiFi-based mesh network
Electric energy trade/reduction/consumption estimation modeling
Energy trading system for future energy-saving smart grid : Study with game theory
and optimization theory
Energy consumption estimation modeling based on practical data with machine
learning techniques
degrees (graduated
human
recommended.
resources.
environment
from
doctoral
In
addition,
combining
network,
media
aim
and
to
energy
cultivate
such
as
periodic
collaborate
seminar
with
each
sessions,
other.
and
We
lab.
members
continuously
the
exchange
- 57 -
TEL : 010-4797-9735
TEL : 042-350-7431
Website : http://ina.kaist.ac.kr/~dongsuh
Current state of the Lab. (in 2016 Fall Semester)
PhD Students: 3
Research Areas
Masters Student: 5
Our lab explores new design spaces while computers and the distributed system, providing efficient and convenient
execution environment for various network applications, are connected and communicate each other. Based on the
exploration, we design and implement the practical (software) system.
Cloud infrastructure: Currently, the network applications and infrastructure have
become complex and intelligent with additional functionality for various purposes. This
trend will be accelerated as the technology is continuously advanced. Therefore, we
focus on the research to make infrastructure more intelligent.
Why cloud/distrubuted system?: Cloud/distributed software systems are basis to realize
the immeasurable possibility of computing. New system that contains innovative
software technology will improve the society and the society also requires such new
techniques. For example, big data system has become infrastructure that enables us to
get knowledge from the data (e.g., Google search). Furthermore, it helps existing
systems to be more efficient. If the mobile operating system such as Android predicts
the network performance at runtime, user can watch video streaming (e.g., YouTube)
with negligible delay. This software technology innovation will create new added value.
Recent research topics
- Cloud computing and Big data processing: resource allocation for cloud infrastructure, optimization with Big Data.
- Internet-scale content distribution: software-defined content distribution, QoE inferencing and optimization, diagnosis.
- Software switch/middlebox: software design for many-core systems, flexible programming framework for network devices.
- Future Internet architecture: evolvable congestion control, evolvable service model, incremental deployment over IP.
- System software for resource management: Memory de-duplication for Smartphone
- Network Security: Intel SGX emulator, Automatic protocol fingerprinting
Recommended courses & Career after graduation
- 58 -
TEL : +82-42-350-7522
Website : http://artlab.kaist.ac.kr
PhD Students: 7
Masters Student: 8
Research Areas
The Advanced Radio Technology Laboratory (ART Lab) has researched the signal processing technology used in
communication systems and various related technologies. In particular, to improve the reliability, transmission rate and
spectral efficiency of wireless communication, we focused on multi-input multi-output (MIMO), massive MIMO, cognitive
radio and etc. Furthermore, GPS-free localization and security issues at the physical layer are important parts of our
research area. We also research innovative technologies to be used in the 5G wireless communication system. Specific
research topics are given as follows.
- Multiple-input Multiple-output (MIMO) and Massive MIMO
MIMO uses multiple antennas for base stations and mobile users to increase the
data rate and reliability. The technique of using a large number of antennas in MIMO
is called Massive MIMO. Recently, ART Lab has been working on energy efficient
problems in Massive MIMO. Also, we investigate problems related to interference
control, resource allocation, user scheduling and etc.
- Cognitive Radio (CR)
The CR is a representative technique that secondary user recognizes the wireless
communication
environment
and communicates
opportunistically.
Therefore,
It
is
important to optimize and allocate the available radio frequency while minimizing
MIMO system
interference to primary user. So, ART Lab is researching a spectrum sensing technique
and allocating the resources for improving a users transmission rate and spectral
efficiency in CR network.
- Localization
Localization is a technique for estimating the position of a mobile user. The ART Lab
develops localization techniques for indoor and wartime conditions without using GPS. A
localization algorithm with low complexity and high accuracy is also being researched.
- 5G Communication System
In recent years, ART Lab has been researching full-duplex, cloud radion access
network (C-RAN) and mmWave system for the 5G communication system.
Recommended courses & Career after graduation
Cognitive radio
- 59 -
TEL : 042-350-3487
Website : http://comstolab.kaist.ac.kr
PhD Students: 8
Masters Student: 7
Comsto-lab focuses on algorithms which can fundamentally improve the performance of storage system and digital
communication, using the insight based on deep mathematical theory and systematical data analysis. For given physical
medium (storage channel, wireless channel, etc.), algorithms for various areas are under research: the optimal transceiver
design, error correction coding technique, equalizer, transmit waveform, and etc. Effective architecture solutions which can
implement these algorithms (using VLSI) are also considered.
Storage Channels (NAND Flash, SSD, HHD, and Next Generation Storage Medium)
[2-4, 6]
The interference between adjacent data cells become severe, as the density of
storage devices increases. Under this scenario, effective equalization technique and
high-performance error correction coding algorithms with low-complexity is one of
the main research areas of Comsto-lab. For example, a distributed equalizer (Fig. 1) is
suggested which overcome multi-directional interference in HDD.
- 60 -
TEL : 042-350-3438
Masters Student: 1
Research Areas
Wireless communication system physical layer
Synchronization and channel estimation
Relay networks
- Relay channel modeling and analysis
- Optimal beamformer design for MIMO two-way relay networks
Interference coordination(cancellation) technique
- Interference coordination for multi-user MIMO system
5G communication system
- QoS providing technique for femtocell networks based on a wireless backhaul
mmWave(millimeter-wave) channel characteristics analysis
- mmWave channel measurement and modeling for performance analysis of 5G communication system
Multimedia information processing
Wireless multimedia sensor network
Signal processing in spectroscopy
Image signal processing
- Detection, tracking and classification for moving target
Hyper-spectral image signal processing
- 3D spectrum image acquisition and analysis for object identification
- Gas detection and analysis using FTIR(Fourier Transform InfraRed) system
Recommended courses & Career after graduation
summer/winter
: 26, PhD : 33) and many of the IPSL alumni are active in
MTs,
Homecoming
day
and
various
- 61 -
TEL : 042-350-6817
Website : http://lit.kaist.ac.kr
Masters Student: 4
Research Areas
In Laboratory for Information Transmission (LIT), we conduct researches in theoretical analysis and practical design of
transmission technologies in communication systems. Especially, extensive researches in performance analysis and
development of 5G and beyond 5G mobile communication technologies, such as new waveform, millimeter-wave
beamforming system, massive multiple-input multiple-output (MIMO) system, and non-orthogonal multiple access
technology, are actively in progress. Detailed research topics are listed below.
- 5G/B5G mobile communications
- Massive MIMO system
- Millimeter wave beamforming system
- Dense small cell networks with wireless backhaul system
- Non-orthogonal multiple access (NOMA)
- Filter bank multi-carrier (FBMC) system
- Wireless caching system
- Full duplex relay system and multi-user MIMO system
- Network coding, cross-layer optimization in cloud network, synchronization
- Machine learning based link adaptation
- Visible light communications
- Simultaneous wireless power and information transfer (SWIFT)
Our lab is currently participating in national projects, such as mmWave 5G Mobile Communication System
Development, Development of Radio Access Technologies for 5G Mobile Communications. We have also developed
Post-OFDM and millimeter-wave band technology development for 5G mobile communication with Samsung Electronics.
Besides, we have also completed projects with many research institutes, such as ETRI, SKT, KT, LG, and Nesslab.
Recommended courses & Career after graduation
research
life
through
active
discussions,
regular
theory.
major
Also,
companies,
national
research
institutes,
government
and
communication
technology.
We
also
want
to
- 62 -
we
regularly
hold
workshops
to
promote
TEL : 042-350-7529
Website : https://csuh.kaist.ac.kr
process,
analyze,
Information
theory
store,
is
and
used
in
transmit
a
information.
broad
range
of
information
systems
through
lens
of
information theory.
also
[1], [3]
apply
the
state-of-the-art
machine
learning
rank
aggregation
for
search
engines,
community
Global Collaborations with Eminent Researchers:Lizhong Zheng (MIT), David Tse (Stanford), Kannan Ramchandran (UC
Berkeley), Michael Gastpar (EPFL), Pramod Viswanath (UIUC), Alex Dimakis (UT Austin), Sewoong Oh (UIUC), Dimitris
Papailiopoulos (UW Madison), Ramtin Pedarsani (UCSB), Salman Avestimehr (USC)
Recommended Courses: EE202 (Signals and Systems), EE210 (Probability), EE321 (Communication Systems), EE326
(Information Theory)
Motto of ISL
1. Make Impacts: The goal of our research is to make fundamental breakthroughs, providing new ways of designing and
engineering information systems.
2. Become An Independent Researcher: The students of ISL are trained to grow as an independent researcher by working
on their own research problems. Further, every students has regular one-on-one meetings with the advisor and frequently
interact with the other lab members, learning how to formulate good research problems, efficiently approach/solve the
problems, and clearly deliver their ideas in the form of presentations and papers.
3. Work Happy: Lab members regularly hang out together, enjoying extracurricular activities such as playing sports (soccer,
basketball, baseball, e-sports), having fine dining, watching movies, and drinking (rarely?).
Recent research achievements ('14~'16)
[1] C. Suh and D. Tse, Feedback capacity of the Gaussian interference channel to within 2bits, IEEE Transactions on Information
Theory, vol. 57, no. 5, pp. 2667-2685, May 2011 (The conference version won the Best Student Paper Award in 2009).
[2] C. Suh and K. Ramchandran, Exact-repair MDS code construction using interference alignment, IEEE Transactions on Information
Theory, vol. 57, no. 3, pp. 1425-1442, Mar. 2011 (The conference version won the Best Student Paper Award Finalist in 2010).
[3] C. Suh, D. Tse and J. Cho, To feedback or not to feedback, IEEE International Symposium on Information Theory, July 2016.
[4] Y. Chen and C. Suh, Spectral MLE: Top-K rank aggregation from pairwise comparisons, International Conference on Machine
Learning (ICML), 2015 (Bell Labs Prize finalist in 2015).
[5] Y. Chen, G. Kamath, C. Suh and D. Tse, Community recovery in graphs with locality, International Conference on Machine
Learning (ICML), 2016.
[6] K. Ahn, K. Lee and C. Suh, Community recovery in hypergraphs, Proceedings of the Annual Allerton Conference on Communication
Control and Computing, 2016 (The first author is a junior undergraduate student!).
[7] K. Lee, M. Lam, R. Pedarsani, D. Papailiopoulos, K. Ramchandran, Speeding Up Distributed Machine Learning Using Codes, IEEE
International Symposium on Information Theory, July 2016.
- 63 -
Homepage: http://wisrl.kaist.ac.kr/
multiple access and waveform beyond OFDM such non-orthogonal multiple access (NOMA), and
and
(CFO) and timing offset (TO). In this area, WISRL is examining several candidate waveforms for 5G and their optimal
design.
cities are new rising applications in the 4th industrial revolution, where computer-based information extraction, processing
and decision making become extremely important. In this area, WISRL is investigating new possibilities based on machine
learning, graphical models, information geometry.
Recommended Undergrad Courses
It is recommended that interested students take signals
algebra, etc.
PhD Program at UT-Austin, 1 Intel USA, 2 ETRI, 1 ADD, 1 Samsung Electronices, 1 Hanacard
- 64 -
PhD Students: 2
TEL: 042-350-3445
TEL: 042-350-5445
Website: http://bungae.kaist.ac.kr
Master Student: 1
Our major concern is on various problems in signal detection, which is the basis of communications and signal
processing. Specifically, we have a good deal of experience in a variety of research on weak signal detection, orthogonal
frequency division multiplexing, and code division multiple access systems, from which we have achieved remarkable
academical results. Recently, we are aiming at acquiring essential techniques in intelligent distributed information
processing technology for future resource-saving systems under real time massive data circumstances. In order to lead the
future resource-saving communication system, we are focusing on research of multiple-input multiple-output (MIMO),
spectrum sensing, and kernel feature extraction.
MIMO Decoding
Taking multiple transmit and receive antennas can not only reduce effects of multipath fading but also increase
frequency efficiency in wireless communication channel with multipath fading environment. Due to the increased
interference resulting from the higher degree of spatial multiplexing when the number of transmit antennas increases,
designing efficient decoding schemes for MIMO systems is major issue. We are researching on the designing new
suboptimal decoder with multiple hypothesis testing which has higher efficiency than other existing decoders.
Spectrum Sensing
The purpose of spectrum sensing is to find out whether primary user uses their own allocated frequency or not. In
most of the schemes designed for spectrum sensing, it is usually assumed that the noise is Gaussian, however, the
non-Gaussian (impulsive or heavy-tailed) nature of noise prevails in the system. We are researching on new spectrum
sensing scheme for cognitive radio network with multiple receive antennas under impulsive noise environments
Kernel Feature Extraction
Nowadays, information processing system can send big/high dimensional data to right place in real time with limited
resources. Especially, video security and surveillance system need fast processing speed to process real time multimedia
data collected from many sensors. In this case, extracting useful information for system by data pre-processing is
essential. We are researching on new kernel feature extraction technique with computational efficiency in bit/high
dimensional data.
Recommended Courses & Career after Graduation
research lab.
- 65 -
Website: http://kalman.kaist.ac.kr
Master Students: 3
Research Areas
Massive MIMO systems and mm-wave communications
Recently massive Multiple-Input Multiple-Output (MIMO) and
mm-wave systems have received considerable attention as useful
tools
for
achieving
the
target
capacity
of
5G
mobile
are investigating signal processing techniques for the ESPAR and OAM. In addition, we study signal processing for
recent power amplifiers (PAs) such as envelop tracking PAs.
Recommended courses & Career after graduation
- 66 -
TEL : 042-350-3457
TEL : 042-350-5457
Website :
PhD Students: 7
Masters Student: 0
Research Areas.
<Radar signal processing>
The ultimate goal of radar signal processing is to detect
the desired target signal and acquire target information.
Currently, we are studying characteristics of low RCS (Radar
Cross Section) targets such as general target and stealth in
low frequency band, and algorithm of target classification
using them, arranging several RF sensors near landing
zone, The algorithm is able to predict the trajectory up to
the point of collision, and radar imaging to form images
using radar signals.
<VCN/BDMA Technology>
Based on our experience in researching beamforming technology for a long
period of time, we are actively researching beamforming technology and optimal
beam operation technology through precise terminal location. And we are
studying the optimal adaptive beamforming scheme for time - varying channel
conditions.
signal
probability
and
system,
probability
and
basic
processing.
- 67 -
TEL: 042-350-3481
Lab.: N1-618
TEL: 042-350-5481
Homepage: http://itml.kaist.ac.kr
Number of students
Ph.D. candidates: 5,
MS candidates: 5
Research Areas
Our main research focuses are on information theory, machine learning theory, and their applications to deep learning,
artificial intelligence, wireless communications, radar, signal processing, and storage.
Information Theory
Information theory was started by Shannon in 1948. It provides fundamental theories for many areas involving
information. Shannon established a fundamental theoretical foundation of digital communication and digital signal
processing more than 60 years ago when almost no one was talking about digital. Shannons theory became practically
implementable in 90s when device complexities became high enough to be able to process signals digitally. These days
almost all devices (HDTV, MP3, 5G, WiFi) are implemented based on Shannons architecture and this is why Shannon is
considered one of the founding fathers of the digital age.
Machine Learning
One of important reasons why it became possible to apply information theory to design practical systems that perform
close to the Shannon limit is because of Moores law. Now once again, thanks to Moores law, device complexity has
reached a point where deep learning can show remarkable performances in many fields including image classification,
voice recognition, and game playing. However, we still do not have a good understanding on why deep learning works
so well because we still lack fundamental theories. We believe information theory will play an important role in
developing such fundamental theories.
Recommended Courses
We recommend courses such as basic probability theory,
signals
and
systems,
digital
signal
processing,
science departments.
Introduction to the Lab.
We welcome students who are interested in fundamental principles, who want to get to the bottom of things, who are
interested in math and science and in applying fundamental theories to invent something innovative.
Recent Research Achievements
[1] Number of citations for papers and patents: 6,300
[2] Development of LDPC codes approaching the Shannon limit very closely (2 related papers cites 2,700 times)
[3] Unification of network information theory: we proved a unified achievability theorem that includes hundreds of existing
results as special cases
Current Research Topics
[1] Information-theoretic analysis of performance of deep learning
[2] Development of radar systems based on deep learning
[3] Efficient data storage systems using machine learning
[4] Development of smarter Internet of things using deep reinforcement learning
- 68 -
TEL : 010-4740-4071
TEL : 010-4801-9483
Research Areas
Mobile Communication System (Multi-dimensional Antenna and Beamforming)
For future standardization, P2BDMA technology has been developed by utilizing
pattern, polarization, beam and MIMO resources. Beamspace MIMO is used to
develop a single RF high capacity subminiature base station. Also, pattern,
polarization and beam division mobile communication system is being developed
on the basis of mmWave frequency band.
Mobile Communication System (Time, Frequency and Space Resource
Management)
Through the integrated cell/resource management, algorithm to control
interference among users is researched when there are multiple base stations
and users. Furthermore, wireless backhaul network is researched to guarantee
valuable QoS in the areas with high traffic density and fast moving users. Lastly,
core technology of MAC layer in wireless backhaul is researched in the
un-licensed band to reach gigabit capacity transmission.
Wireless Power Transfer
Efficient wireless power transfer technology is developed based on magnetic
resonance. Origin technology of real time wireless power transfer for the sensors
buried in the building is being developed. Also, wireless power transfer
technology integration to transportation system has been developed to
guarantee seamless wireless charging during the operation.
Genome sequence comparison, Modeling and Comparison based precision
diagnosis.
We take a new approach to explain the phenotype, phylogenesis and the
biological phenomenon. Modeling of genetic sequence and analysis of RE array
are implemented based on information and computational engineering. From
these researches, genome based biomarkers and accurate diagnosis algorithms
has been developed.
Recommended courses & Career after graduation
Introduction to other activities besides research
Communication Engineering, Communication Systems, and Every year, Summer Membership Training and workshop
Wireless Networks are recommended courses. There are total
have been held. There are also activities like strawberry
101 alumni(44 doctors and 62 masters), professor in university,
researchers in research institute (e.g. ETRI, KRRI), companies(e.g. party, Homecoming, monthly congregate dining and
Samsung Electronics Co. Ltd., LG Electronics Inc.) or service biweekly exercises(e.g. soccer, basketball).
operators(e.g. KT, SK telecom, LG Uplus), and etc.
Introduction to the Lab.
Ubiquitous Mobile Life Systems Lab. is an experienced research and development group which has been contributed to
the advancement of mobile communication and wireless power transfer. Research area consists of future wireless mobile
communication, wireless power transfer, polarization communication, and bio-informatics. Weekly face-to-face meeting with
professor and collaborative work among members will help the research. Joining the cooperative project supported by
companies or government provides opportunity to learn theory and practice at the same time. Many graduate students
have been participating in the international conference and a large number of papers have been published. UMLS lab will
welcome anyone who wants to be a member.
Recent research achievements ('14~'16)
-Overall publications
166 on international journals, 269 at international conference, 71 on domestic journals, and 159 at domestic conferences
-Overall international standard
3 for IEEE 802.16e, 2 for 3GPP2, 1 for IEEE 802.16j, 1 for IEEE 802.16maint, 2 for IEEE 802.16m, 5 for IEEE 802.16ppc
-Representative publications
[1] C.B Yoon and D.H. Cho, Energy Efficient Beamforming and Power Allocation in Dynamic TDD Based C-Ran
System, IEEE Communication Letters, vol. 19, no. 10, pp. 1806-1809, Oct. 2015
[2] K. Cho, K. H. Lee, K. S. Shin, D. Lim, W. C. Kim, B. C. Chung, K. B. Han, J. Roh and D. H. Cho, "Repetitive element
signature-based visualization, distance computation, and classification of 1,766 microbial genomes", Genomics vol.
106, no. 1, pp. 30-42, Jul. 2015
-Projects
Wireless power transfer technique (Top 10 emerging technologies in 2013 by World Economic Forum, The 50 Best
Inventions of 2010 by TIME)
5G mobile communication with Pattern/Polarization Beam Division Multiple Access(2016 Excellent National R&D 100)
- 69 -
Professor : N1 712
TEL : 042-350-7426
Lab. : N1 720
TEL : 042-350-7526
Website : http://wcslab.kaist.ac.kr
Ph.D Students: 10
Masters Student: 6
Wireless Communication Systems Lab (WCSL) carries out research on the advanced wireless communication system based
on information and communication theory. In particular, we study the 5G wireless communication system, wireless caching
and energy harvesting.
5G Wireless communication systems
Next-generation(5G) wireless communication system requires ultra-low latency, high
transmission rate, and attainable multiple user equipments. In WCSL, our goal is to
satisfy the requirements of 5G, using the multiple antenna technology (MIMO),
interference alignment and
basic
signal
courses
are
recommended
processing, communication
and
digital
- 70 -
TEL : 042-350-7524
Website : http://cocoa.kaist.ac.kr
Masters Student: 5
Research Areas
- Error Correction Codes for Developing Next Generation Storage Devices
SSDs (Solid-state Drives) using NAND flash memories have many advantages over traditional hard disks, e.g. higher
throughput and low power consumption. As technology scaling progresses for increasing storage capacity, the error rate
of stored data in SSDs sharply grows. To resolve such technical challenges, CoCoA has been conducting intensive
researches on developing efficient error-control systems for SSDs. We are currently conducting research with the support
of SK-Hynix.
- Physical Layer Security
Due to the broadcast nature of wireless channels, wireless communication
is especially vulnerable to security threats. The physical layer security
provides unique solutions to such threats. Under the support of the
National Research Foundation of Korea (NRF), CoCoA has been studying
physical layer security and cross-layer optimization between the application
and physical layers to provide innovative solutions to security issues in
future distributed communication networks such as Internet of Things.
- Chip-to-Chip Communication
As the data rate between digital sub-systems has been growing fast, difficulties
have arisen in the design of existing wired communication systems between chips.
In order to give flexibility to semiconductor design, recently wireless communication
has been considered as a means of inter-chip communication. Under the support of
the Future Planning, Science, Broadcasting and Communications Committee, CoCoA
has been looking for innovative ways to integrate terahertz wireless communication
into semiconductor design.
- Quantum Cryptography
RSA cipher systems have been widely employed as security solutions in modern information management and
communications systems. However, the advent of quantum computers will make the RSA cipher systems exposed to
serious security threats. To cope with the potential technological havoc, a quantum cryptography system that guarantees
perfectly secure communication using physical laws has been actively investigated. With the support of the Information
Technology Research Center (ITRC), CoCoA is working on developing and improving the quantum cryptography system.
Recommended courses & Career after graduation
Recommended
courses
include
introduction
to
information theory and coding, and basic probabilities.
Graduates of CoCoA have excelled in leading information
technology companies as Samsung Electronics, LG CTO, etc.
- 71 -
Professor : N1 716
TEL : 042-350-3472
Lab. N1 717
TEL : 042-350-5472
Website : wit.kaist.ac.kr
PhD Students: 6
Masters Student: 1
Research Areas
Researches
(witLab)
in
wireless
focus
on
innovative technologies
wireless
the
innovative
wireless
and
performance
algorithms
for
Detection &
Measurement
systems
network
efficient
laboratory
communication
and
and
SON
Self-Healing
design
current
Self-Configuration
Cell Outage
MME
Self-Optimization
eNB
6. X2 setup
6. X2 setup
- Automatic tuning
- Parameter adjustments
Self-configuration
Subsystem
6. S1 setup
5. Connect
eNB
2. Association with a GW
MME
are
currently
being
commercialized
and
work
on
Normal OAM
subsystems
GW
Self-Configuration
developing 5G standard models in cooperation with various research organizations such as ETRI, Hanwha, and other
laboratories. Our research topic includes full duplex communications, energy efficient communication for low power wide
area (LPWA) for IoT service, 3-dimensional base station research using drones.
Recommended courses & Career after graduation
Carrier
LG
believe
quality of research.
after
graduation
Samsung
Electronics,
this
free
atmosphere
can
promote/improve
the
- 72 -
TEL : 042-350-7603
Website : http://ssal.kaist.ac.kr
M.S. student : 5
Contents
High-sensitivity & real-time diagnosis for human
Department
Ministry of Science,
System
environmental sensors
Planning(MSIP)
image
processing,
embedded
system,
architecture et al. Alumni are working at Samsung Electronics, Furthermore we hold annual homecoming day to meet
Samsung Advanced Institute of Technology, LG Electronics, SK and communicate with alumni.
Hynix, SK Telecom, KT, ETRI, domestic and foreign university as
a professor, and various fabless venture company.
Recent research achievements ('14~'16)
[1] Giwon Kim, Kang Yi, and Chong-Min Kyung, "A Content-Aware Video Encoding Scheme Based on Single-Pass
Consistent Quality Control" (accepted for publication) IEEE Transaction on Broadcasting (TBC)
[2] Muhammad Umar Karim Khan, Asim Khan, and Chong-Min Kyung, "EBSCam: Background Subtraction for Ubiquitous
Computing," (accepted for publication) IEEE Transactions on Very Large Scale Integration System (TVLSI)
[3] Yunhoon Cho, Hyuntae Cho, and Chong-Min Kyung, "Design and Implementation of Practical Step Detection Algorithm
for Wrist-worn Devices" (accepted for publication) IEEE Sensors Journal
- 73 -
TEL : 042-351-9853
Website : http://mvlsi.kaist.ac.kr
Current state of the Lab. (in 2016 Fall Semester)
Postdoctoral Fellows : 0
Research Areas
PhD Students: 10
Masters Student: 6
- 74 -
PhD Students: 12
TEL : 042-350-7425
Website : http://msicl.kaist.ac.kr
Masters Student: 4
MSICL researches Analog/Mixed signal circuit design. Major research topic is data converters (Analog-to-digital converter,
Digital-to-analog converter) which converts analog signal to digital signal or vice versa and becomes more important
crucial blocks with development of semi-conductor. As digital circuits becomes more popularly used with advanced
calculation power and reduced consuming power, analog circuits become essential blocks which transfer the nature signal
to digital systems because all the nature signals related to human life are analog signals. Therefore, the research on
analog circuits are important with development of circuit systems. However, the number of analog circuit designer is
insufficient compared to analog circuit demands. Besides, MSICL researches PC-RAM which is considered the next
generation Memory, and readout circuits for CMOS image sensors.
1270um
1st
2nd
MDAC MDAC
CLK
Ref.
Driv.
1200um
S/H
FLASHADCs
- 75 -
TEL : 042-351-9884
PhD Students: 6
Masters Student: 5
Integrated Computer Systems Laboratory (ICSL) was established in 2000 by Professor In-Cheol Park. The research focus of
ICSL is on computer architecture, embedded processors, and VLSI architectures for computationally intensive function
blocks, such as multimedia signal processing and communication system. The current research scope of the members of
ICSL is VLSI designs for error correcting code blocks, SSD platform, ASR system, and communication system.
Design of microprocessors: Many kinds of processors have been developed such as single-chip programmable SoC
platform, and multithread embedded processor. A SoC platform based on 32-bit embedded processor and on-chip bus
has been developed together with its corresponding development environment such as compiler, assembler and debugger.
VLSI design for error-correcting codes: Error correction is one of the most important techniques used in communication
and storage systems to recover messages corrupted in noisy environments. Energy-efficient high-throughput architectures
are proposed for decoding concatenated-BCH (CBCH) codes to improve the reliability of MLC NAND flash memory. In
addition, a low-power LDPC decoder optimized for NAND flash is devised. Also, a multi-rate turbo decoder for mobile
communication standards such as 3GPP LTE and LTE-Advanced is developed to achieve near-optimal error-correcting
performance.
VLSI design for communication systems: A low-complexity MIMO symbol detector for wireless communications systems
is proposed to reduce the number of operators significantly, especially multipliers, without degrading the bit error rate.
Our
laboratory
members
enjoy
out-of-study
activities
Most
graduates
researchers
in
are
major
employed
as
professors
companies
or
national
or
as
research
centers.
Introduction to the Lab.
ICSL provides 1 iMac(Intel Core i5 2.5GHz, 4GB Memory) 21.5-inch LCD monitor, and 1TB HDD per person and the best
research environment in KAIST, such as spacious working space and a desk lamp. We have one project and one research
meetings every week, which provide proper guidance for works and researches. Our research topics focus on everything
related to VLSI architectures including communications systems, storage systems, and error-correction codes.
Recent research achievements ('14~'16)
[1] Jaejoon Choi, Jaehwan Jung, and In-Cheol Park, "Area-Efficient Approach for Generating Quantized Gaussian Noise,"
IEEE Transactions on Circuits and Systems-I: Regular Papers, vol. 63, no. 7, pp. 1005-1013, Jul. 2016.
[2] Byeong Yong Kong, Hoyoung Yoo, and In-Cheol Park, "Efficient Sorting Architecture for Successive-Cancellation-List
Decoding of Polar Codes," IEEE Transactions on Circuits and Systems-II: Express Briefs, vol. 63, no. 7, pp. 673-677, Jul.
2016.
[3] Jihyuck Jo, Hoyoung Yoo, and In-Cheol Park, "Energy-Efficient Floating-Point MFCC Extraction Architecture for Speech
Recognition Systems," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 24, no. 2, pp. 754-758, Feb.
2016.
- 76 -
TEL : 042-350-3489
TEL : 042-350-5489
Website : http://nais.kaist.ac.kr
FAX : 042-350-8089
PhD Students: 14
Masters Students: 6
Introduction
At NAIS lab, we engage in a wide range of research regarding various application fields based on
high-speed communication integration circuit technology. As the research topics take system-wide
approach, students will be able to experience and accumulate broad spectrum of knowledge
during the process of completing the research. The objective of all research performed at NAIS
lab is to implement and commercialize innovative systems through disruptive technology. NAIS lab
encourages students to experience venture ecosystem by getting involved in establishing ventures
based on the research performed during the graduate school years. OBELAB and Terasquare are
the two venture start-ups that were established, based on the research conducted during the
graduate school years at NAIS lab.
Recommended courses & Career after graduation
One
of
the
most
important
virtue
at
NAIS
is
Jaemyoung Kim,
Gunpil Hwang,
Jaehyeok Yang,
Mingyu Choi,
400 fW-Detectable Multichannel fNIRS IC for Portable Functional Brain Imaging, IEEE Journal of Solid-State Circuits, 2016,
[2] Jongkwan Choi,
Jaemyoung Kim,
Gunpil Hwang,
Jaehyeok Yang,
Mingyu Choi,
Based 15pW- Detectable Multi-Channel fNIRS IC for Portable Functional Brain Imaging, IEEE International Solid-State Circuits Conference 2015,
[3] Hail Song, Jin, Huxian, Hyeon-Min Bae, Plastic straw : future of high-speed signaling, Scientific Reports, 2015,
[4] Hyosup Won,
Taehun Yoon,
Jinho Han,
Joon-Yeong Lee,
Jong-Hyeok Yoon,
Jong-Hyeok Yoon,
Hyeon-Min Bae, A 6Gb/s transceiver with a nonlinear electronic dispersion compensator for directly
- 77 -
Computing Lab
TEL : 82-42-350-3479
TEL : 82-42-350-5479
Website : http://dtlab.kaist.ac.kr
Current state of the Lab. (in Fall Semester 2016)
Postdoctoral Fellows : 0
Research Areas
PhD Students: 6
Masters Student: 5
Our research encompasses various topics in the field of VLSI CAD (Very Large Scale Integration Computer-Aided Design). VLSI chips
that are used in most of electronics nowadays (smart phone, tablet PC, TV, laptop, etc.) are produced in three major stages: design,
CAD, and fabrication. VLSI design refers to the use of HDL (Hardware Description Language), a kind of computer language, to
effectively implement algorithms that are determined by application fields in hardware. The HDL described in the design is converted
into a layout through various CAD processes, and then chips are made through semiconductor processing steps. From HDL to a layout,
it requires numerous processes such as logic synthesis, timing analysis, power analysis, placement, and routing. It is such a difficult task
that requires repeatedly trying, because the VLSI design must meet with the desired specifications in terms of time, electrical, physical
qualification as well as functionality. It should also be ensured that the chips are fully operational in all aspects. The development of
CAD enables VLSI design to become more popular (as compared to the past), to be easier to use with HDL, and to allow complex
designs that could never be imagined in the past.
Our research topics in 2013-2016 include
Low power design We have researched timing error correction in a razor-based pipeline architecture
and gate-level clock gating synthesis. In conventional razor architecture, several clock cycles are
needed to correct timing error, which is a major obstacle of voltage scaling. We proposed one cycle
error-correction technique to reduce the limitation. Gate-level clock gating, which is a promising
scheme to help RTL clock gating, requires an efficient implementation of clock gating logic. We
suggested a technique, using the existing logic as far as possible, to simplify gating logic.
Neuromorphic circuit An artificial neural network is an algorithm inspired by the mechanism of
nerve system in the brain. Neuromorphic circuit applies the artificial neural network into a circuit, and
is designed to be optimized for neural network. Compared to CPU and GPU, neuromorphic circuit
benefits hundreds of times in terms of power, area, and speed. As the network size grows, the design
methodology to increase performance and decrease power of the neuromorphic circuit is studied.
Design for manufacturability The size of VLSI chips in recent research is pretty fine (Intel's CPU
Skylake of 14nm, Qualcomm Snapdragon 835 with Samsung's 10nm FinFET process). To increase the
chip yield during the manufacture, much research related to fabrication has been conducted, which is
named DFM. In this laboratory, several methods has been developed like Optical proximity correction
(OPC), Directed self-assembly lithography (DSAL)), etc.
Recommended courses & Career after graduation
- 78 -
PhD Students: 15
TEL : 042-350-3468
TEL : 042-350-8068
Website : http://ssl.kaist.ac.kr
Masters Student: 5
SSL Wanted
- Who has passion to be the best
- Who want to become a world leading engineer
Statue of SSL
You can feel it through your skin at international
conferences
- 79 -
NICE Lab
Website : http://nice.kaist.ac.kr
sensors
with
minimum
power
dissipation.
For
this
transceiver,
IR-UWB,
ultra-low
power
wake-up
circuit,
electromagnetics,
microwave
- 80 -
TEL : +82-42-350-7434
Lab. :
TEL : +82-42-350-7534
LG Hall 1109
Website : http://www.cad4x.kaist.ac.kr
Current state of the Lab. (in 2016 Fall Semester)
Students in CAD4X lab
PhD Students: 1
Alumni including 17 years of teaching at Seoul National University
Professors: 4, Postdoctoral Fellows: 2, PhD researchers in industry: 5
Research Areas
CAD4X(Computer-aided design for 'X') stands for systematic design and optimization using computer-aided design of beyond semiconductor
circuits and systems including energy systems. The CAD4X laboratory is one of the leading groups in power and energy optimization from
embedded systems applications to large scale energy systems. The CAD4X Laboratory has introduced numerous world-first innovative
techniques for device- and system-level power/energy measurement/estimation; systematic optimization of electric vehicles, storage-less and
converter-less maximum power point tracking (MPPT), dynamically reconfigurable thermoelectric generator arrays and so forth.
The CAD4X laboratory publishes above-mentioned research contribution at premier venues including journals, magazines, conferences, symposia
and workshops. The CAD4X laboratory pursuits practically applicable design and optimization techniques, and most of the developed
techniques have been demonstrated with working prototypes atISLPED design contest andDesign Automation Conference university Booth.
- 81 -
TEL: 7437
Website: http://sites.google.com/site/kaistimpactlab
Current state of the Lab. (in 2017 Spring Semester)
PhD Students: 7
Masters Student: 4
Research areas
The core technology of the research is
analog, mixed-signal, and RF integrated
circuit design techniques, especially focusing on intelligent sensor interface circuits and ultra low power wireless communication circuits.
Intelligent sensor interface
The sensor interface circuit that works
with the sensor is an essential component to acquire the information of the
real physical world. It has to provide sufficient performance while consuming low
power. In particular, we aim to develop
an intelligent interface circuit that can
compensate the deficiencies of the sensor and extract meaningful information
even under imperfect conditions.
Ultra-low-power wireless communication
Particularly, we are interested in the technology that realizes the short distance communication in the vicinity of the human body with high energy efficiency as well as the various circuit techniques for duty-cycling the wireless communication circuits which consume the most power in the wireless sensor microsystems as much as possible.
Microsystem convergence for emerging applications
Based on this low-power integrated circuit technology, the extremely small and intelligent systems can be integrated for
various applications expected to play an important role in the future. Especially, the miniaturized medical device that can
be implanted inside a human body for therapeutics, brain research, and neuromodulation is the main application area. We
are also interested in wearable devices which are expected to be the next generation mobile devices, and ultra low power
wireless sensor nodes which are key to the implementation of the internet of things.
Recommended courses & career after graduation
Courses on circuit and system design as well as wireless communication are recommended, which include circuit theory, electronic circuits, analog electronic circuits, digital electronic circuits, digital systems, digital signal processing, communication engineering, and radio
engineering. After graduation, your career can be furthered at a variety of domestic and foreign companies, research institutes, or universities related to integrated circuit and microsystem design as well
as research and development in the application areas of IoT, wearables, and medical devices.
- 82 -
PhD Students: 14
TEL : 042-350-3424
TEL : 042-350-5424
Website : http://circuit.kaist.ac.kr
Masters Student: 13
high
operating
speed
using
optimized
dead-time
control
techniques.
PMIC
field
researchers are highly scarce in Korea and can receive good treatment.
Display Driver ICs
CNSL designs a data driver IC for LCD and OLED displays. We are focusing on developing
driver ICs for driving high-definition, high-quality LCD displays, and driving small- and
large-sized OLED display driving technologies and driving chips, which are emerging as
next-generation display technologies. We are also studying a readout IC for capacitive touch
screen. LG, and Samsung, which are actively researched in large corporations.
Energy Harvesting
Energy harvesting devices have been attracting attention in recent years. Since the energy
varies depending on the surrounding environment, an interface circuit that supplies a constant
energy to drive an electronic device is essential. The energy harvesting interface circuit can be
used to charge the wireless sensor network and the battery of the mobile device using energy
from various harvesting sources.
Recommended courses & Career after graduation
Circuit theory and digital / analog electronic circuits are
recommended courses, but in undergraduate courses it will
be beneficial to experience diverse fields with as wide a
range of learning as possible. After graduation, you can
- 83 -
TEL : 042-879-9925~7
Website : http://sites.google.com/site/kaistccs/
Masters Student: 8
for
communication
system.
Since
the
specification
of
PLL
is
Members do not get stress from other people and take the
companies
and
research
institutes
like
Qualcomm,
- 84 -