Edi WN111
Edi WN111
Edi WN111
1
Product Version 11.1 April 2012
2011-2012 Cadence Design Systems, Inc. All rights reserved. Printed in the United States of America. Cadence Design Systems, Inc. (Cadence), 2655 Seely Ave., San Jose, CA 95134, USA. Trademarks: Trademarks and service marks of Cadence Design Systems, Inc. (Cadence) contained in this document are attributed to Cadence with the appropriate symbol. For queries regarding Cadences trademarks, contact the corporate legal department at the address shown above or call 1-800-862-4522. All other trademarks are the property of their respective holders. Restricted Print Permission: This publication is protected by copyright and any unauthorized use of this publication may violate copyright, trademark, and other laws. Except as specified in this permission statement, this publication may not be copied, reproduced, modified, published, uploaded, posted, transmitted, or distributed in any way, without prior written permission from Cadence. This statement grants you permission to print one (1) hard copy of this publication subject to the following conditions: 1. The publication may be used solely for personal, informational, and noncommercial purposes; 2. The publication may not be modified in any way; 3. Any copy of the publication or portion thereof must include all original copyright, trademark, and other proprietary notices and this permission statement; and 4. Cadence reserves the right to revoke this authorization at any time, and any such use shall be discontinued immediately upon written notice from Cadence. Disclaimer: Information in this publication is subject to change without notice and does not represent a commitment on the part of Cadence. The information contained herein is the proprietary and confidential information of Cadence or its licensors, and is supplied subject to, and may be used only by Cadences customer in accordance with, a written agreement between Cadence and its customer. Except as may be explicitly set forth in such agreement, Cadence does not make, and expressly disclaims, any representations or warranties as to the completeness, accuracy or usefulness of the information contained in this document. Cadence does not warrant that use of such information will not infringe any third party rights, nor does Cadence assume any liability for damages or costs of any kind that may result from use of such information. Restricted Rights: Use, duplication, or disclosure by the Government is subject to restrictions as set forth in FAR52.227-14 and DFAR252.227-7013 et seq. or its successor.
Contents
4
4 4
4
Release Overview
New Text Commands and Global Variables New Command Parameters Obsolete Text Commands and Global Variables
Supported in this Release
6
6 7 10
10
10
11 11
Default Behavior Changes Support to On-Chip Thermal Analysis Solution is Withdrawn New and Revamped Documentation
11 12 12
New Chapter on Clock Concurrent Optimization Commands 12 New Section on Post-Mask ECO Changes from a New Verilog Netlist (Using Spare Cells Flow) 12
Foundation Flows
Defining ccoptDesign for Clock Tree Construction Defining ccopt Top and Bottom Layers Script changes for Hier Two-pass Flow
13
13 13 14
15
New Buttons in the Design Browser 15 Option for Reading Net Names File in the Select/Delete/Deselect Routes Form 15 Option for Saving Highlight Settings 16 Option To Specify Stream Map File in Verify Litho Form 17 Enhanced Snapping Capability in the Ruler 17 Option for Customizing DPT Colors 17 Support for Net Name Display 18 Save Foundation Flow Files 19
20
20
LEF-DEF Properties
21
LEF 5.8 Properties for Creating New DRC Rules for 32-28 nm and Smaller
Nodes
Cut Layer Enhancements Routing Layer Enhancements Macro Enhancements
21
21 22 24
Flip Chip
25
Bump Placement Enhanced To Check Overlapping Based on Real Geometry 25 findPinPortNumber Enhanced To Report Port Number for IO Cells 26 viewBumpConnection Enhanced To Automatically Redraw Flightlines after Bump Manipulation 27
Netlist Verilog
28
New Global Variable To Ignore Non-fatal Verilog Netlist Errors 28 setDoAssign Exclusion File Enhanced To Support Bus Names without Qualifiers 28
Netlist-to-Netlist
runN2NOpt Enhanced To Support Semiauto Mode
29
29
Partitioning
DPT Colorizer Support editPin Command Enhanced Enhanced Pin QoR for Multi-Partition Nets Incremental assembleDesign Capability Enhanced insertPtnFeedthrough Command Enhanced New Clone Place Menu Command New Commands for Setting Pin Assignment Mode Support for Wildcards in Net Name
30
30 30 31 31 31 32 32 32
Floorplanning
createPlaceBlockage Command Enhanced Enhanced Support for High Effort planDesign flipOrRotateObject Command Enhanced Floorplan Toolbox Enhanced Plan Design GUI Form Enhanced Specify Floorplan GUI Form Enhanced Support for Adding Named Prefixes to Blockages Support for Aligning Objects of Mixed Type Support for Shifting SDP Groups
34
34 34 35 35 36 37 38 39 39
40
40
NanoRoute Router
Enhanced NanoRoute Reporting
41
41
42
42
Metal Fill Enhanced To Honor Non-default Rule Hardspacing trimMetalFillNearNet -createFillBlockage Now Supports Custom Names New trimMetalFillNearNet Parameter To Remove Metal Fill Near Critical Nets addMetalFill Now Supports Check Board Vias addMetalFill Enhanced To Allow User-specified List of Critical Nets in Timing-aware Mode setMetalFill -diagOffset Now Supports 0 Value
42 42 42 42 43 43
Timing Budgeting
45
Added Global Variables 45 justifyBudget Enhanced to Honor report_timing_format Global Variable 45 New Command to Reset Modified Budget 45
RC Extraction
47
preRoute Extraction Enhanced to Support height_over Construct in the ICT or QRC Technology File 47 RC Extraction Mode GUI Enhanced for Specifying Standalone Signoff QRC Settings 47 Support for Selecting SPEF par_value Triplet Field and Assigning to Corresponding RC Corner 48
Timing
Reporting Enhancements
report_property/get_property Supports Power and Ground Pins Added New Global to Report Constant Mismatch
50
50
50 50
50
50 51 51 51
SSTA Enhancements
Ability to Report Sensitivity Details of Process Parameters
51
51
Other Enhancements
Added New Parameters to MMMC RC Corner Commands Added New Global to Use Clock Slew for Check Arcs Ability to Reset/Update MMMC Data By Default Added New write_sdf Parameters
51
51 51 52 52
52
52
Timing Debug
Timing Debug Paths Now Nested Trees
54
54
Verification
Enhanced Verify AC Limit Form Enhanced Verify Cut Density Form Enhanced Verify Metal Density Form
55
55 56 57
Enhanced Verify Power Via Form 58 Enhanced Verify Routing Constraints Form 59 New Verify Geometry Option To Report Out-of-die Objects 60 Support for Rectangular Edges 60 Enhanced Verify Geometry Support for LEF Properties 61 verifyGeometry -noMinSpacing Enhanced To Ignore All Spacing Rule Checks 61 verifyACLimit Enhanced To Perform Peak and Average Current Analysis Yield Analysis 6361
Power Calculation
Annotation Summary Reporting Enhancements Enhanced Register Gating Efficiency Reporting New Parameter to Control Output of Clock Gates Previously Supported but not Documented Parameter Added to Documentation
64
64 65 65 65
Rail Analysis
auto_fetch_dc_sources Command Enhanced New Parameter to Support User-Specified Technology File Resistance Extraction for T/L Junctions set_power_data -instance Command Parameter Enhanced to Honor format ascii Parameter set_rail_analysis_mode -power_up_fast_mode Parameter Default Behavior Changed Support for Decoupling Capacitance Static Violation GIF Plot Support for Embedded Bumps in Hierarchical TSV Designs
67
67 67 68 68 68 68 68
70
70 70
Mixed-Signal Interoperability
GUI Updates New Commands Added
71
71 71
73 74
New Parameter Added to Size Up Gating Components to Maximum 74 setCTSmode -routeClkNet Enhanced to Route Clock Nets after Synthesis or Optimization 74
OpenAccess
New Beta Control Variable New Global to Specify a Constraint Group Name
75
75 75
TSV
76
New Option Added to the Create TSV/Bump Form New Options Added to the Assign TSV/Bump Form
76 76
Power Planning
New Option for setAddStripeMode
77
77
ECO Flows
New Flow Added to Make Late Logic Changes
78
78
1
About This Manual
This manual provides information about Product Version 11 the Cadence Encounter Digital Implementation System family of products. The Encounter Digital Implementation System (EDI System) family encompasses the following products: Encounter Digital Implementation System L Encounter Digital Implementation System XL NanoRoute Ultra SoC Routing Solution Virtuoso Digital Implementation Encounter Timing System L Encounter Timing System XL Encounter Power System L Encounter Power System XL First Encounter L First Encounter XL First Encounter GXL
Related Documents
For more information about the EDI System family of products, see the following documents. You can access these and other Cadence documents with the Cadence Help documentation system.
EDI System Text Command Reference Describes the EDI System text commands, including syntax and examples. EDI System Menu Reference Provides information specific to the forms and commands available from the EDI System graphical user interface. EDI System Database Access Command Reference Lists all of the EDI System database access commands and provides a brief description of syntax and usage. EDI System Foundation Flows User Guide Describes how to use the scripts that represent the recommended implementation flows for digital timing closure with the EDI System software. EDI System Library Development Guide Describes library development guidelines for the independent tools that make up the EDI System family of products. README file Contains installation, compatibility, and other prerequisite information, including a list of Cadence Change Requests (CCRs) that were resolved in this release. You can read this file online at downloads.cadence.com.
April 2012
2
Release Overview
New Text Commands and Global Variables New Command Parameters Obsolete Text Commands and Global Variables Obsolete Command Parameters Default Behavior Changes Support to On-Chip Thermal Analysis Solution is Withdrawn New and Revamped Documentation
Chapter Clock Concurrent Optimization Commands Timing Analysis Commands Floorplan Commands and Global Variables Clock Concurrent Optimization Commands Clock Concurrent Optimization Commands Partition Commands and Global Variables Import and Export Commands and Global Variables Timing Budgeting Commands and Global Variables Clock Concurrent Optimization Commands Partition Commands and Global Variables Placement Commands and Global Variables Placement Commands and Global
Product Version 11.1
Variables tbgConsolidateTrialNoTrialIPO tbgCorrelateMaxTranWithActualTran timing_enable_case_analysis_conflict_warning timing_library_infer_cap_range_from_ccs_receiver_model timing_path_based_use_min_max_clock_slew_for_check timing_read_library_without_ecsm timing_read_library_without_sensitivity timing_ssta_report_endpoint_description vl_tolerate_illegal_syntax Timing Budgeting Commands and Global Variables Timing Budgeting Commands and Global Variables Timing Global Variables Timing Global Variables Timing Global Variables Timing Global Variables Timing Global Variables Timing Global Variables Import and Export Commands and Global Variables
Mixed-Signal Commands
-no_taper_to_pinwidth runN2NOpt -floorplanOnly setAddStripeMode -stripe_min_width -trim_stripe setVerifyGeometryMode -boundaryHalo setCTSMode -synthUpsizeClockGate set_default_switching_activity -clock_gates_output_ratio set_power_analysis_mode -compatible_internal_power
April 2012 12 Product Version 11.1
Netlist-to-Netlist Command
Power Planning
Verify Commands
Mixed-Signal Commands
-shieldGap
-tandemWidth
-groupToOutsideSpacing spefIn -early_rc_corner -early_spef_field -late_rc_corner -late_spef_field -spef_field streamOut -attachNetProp trimMetalFill -useNonDefaultSpacing
April 2012 13 Product Version 11.1
RC Extraction Commands
trimMetalFillNearNet -remove update_delay_corner -early_rc_corner -late_rc_corner verifyACLimit -avgRecovery -deltaTemp -method -minPeakDutyRatio -minPeakFreq -useQrcTech -scaleCurrent verifyPowerVia -stackedVia writeDieAbstract -noFilter write_sdf -exclude_whatif_arcs -target_application
Verify Commands
Verify Commands
TSV Commands
Default Behavior Change verifyGeometry -noMinSpacing Enhanced To Ignore All Spacing Rule Checks setCTSmode -routeClkNet Enhanced to Route Clock Nets after Synthesis or Optimization report_timing -not_through Parameter Default Behavior Change
15 Product Version 11.1
New Section on Post-Mask ECO Changes from a New Verilog Netlist (Using Spare Cells Flow)
The ECO Flows appendix of EDI System User Guide now contains a new section on post-mask ECO changes from a new Verilog netlist, using spare cells flow. For more information, see Post-Mask ECO Changes from a New Verilog Netlist (Using Spare Cells Flow).
April 2012
16
3
Foundation Flows
Defining ccoptDesign for Clock Tree Construction Defining ccopt Top and Bottom Layers Script changes for Hier Two-pass Flow
Variable Name cts_inverter_cells cts_buffer_cells clock_gate_cells cts_use_inverters update_io_latency cts_target_skew cts_target_slew cts_io_opt cts_effort
Value Type list list list boolean boolean float float enum enum
Usage Description Specify the CTS inverter cells Specify the CTS buffer cells Specify the clock gate cells Specify true or false Specify true or false Specify the skew Specify the slew Specify on | off | secondary Specify low | medium | high
Specify setCTSMode | setCCoptMode Specify setCTSMode | setCCoptMode Specify setCTSMode | setCCoptMode Specify setCTSMode | setCCoptMode Specify setCTSMode | setCCoptMode Specify setCTSMode | setCCoptMode
April 2012
18
4
EDI System Display and Tools
New Buttons in the Design Browser Option for Reading Net Names File in the Select/Delete/Deselect Routes Form Option for Saving Highlight Settings Option To Specify Stream Map File in Verify Litho Form Enhanced Snapping Capability in the Ruler Option for Customizing DPT Colors Support for Net Name Display Save Foundation Flow Files
Option for Reading Net Names File in the Select/Delete/Deselect Routes Form
April 2012 19 Product Version 11.1
April 2012
20
Note: The GDS layer numbers in the stream out layer map should match the numbers in the LPA layer map.
April 2012
21
April 2012
22
April 2012
23
5
Importing and Exporting the Design
Technology Section Ignored for Subsequent LEF File
April 2012
24
6
LEF-DEF Properties
LEF 5.8 Properties for Creating New DRC Rules for 32-28 nm and Smaller Nodes
LEF 5.8 Properties for Creating New DRC Rules for 32-28 nm and Smaller Nodes
Cut Layer Enhancements
You can define new CUT LAYER properties to create rules for cut layers that: Add FORBIDDENSPACING rule, to indicate that if the spacing between two cuts belonging to a class name is greater than or equal to the specified minimum spacing and less than or equal to the specified maximum spacing, then there will be a violation.
Add PARALLEL to cut layer ENCLOSURE rules, to indicate that the enclosure values must be fulfilled, but not other ENCLOSURE statements, if the wire containing a cut has a neighbor wire less the defined parallel within value and has a common parallel run length to the cut greater than or equal to the specified parallel length on only one side.
Add MINSUM in cut layer ENCLOSURETABLE rules, to indicate that it is legal if two opposite sides have overhang values greater than or equal to the sum of the specified overhangs, and the smaller overhang value is greater than or equal to the specified smaller overhangs.
Add NONZEROENCLOSURE to CUTCLASS SPACINGTABLE rules, to indicate that the inter-layer cut spacing between cuts in the current layer to cuts in the specified second layer only applies to cut edges with enclosure on the above metal layer of the cuts in the current layer greater than zero and have parallel run length greater than 0 with the cuts in the second layer.
Add EOLMINLENGTH to ENCLOSURETOJOINT rules, to indicate that the joint must not be a EOL edge with length greater than or equal to the specified minimum length along both sides, and the length of the EOL edge is no longer necessarily equal to the wire width.
Add the following keywords in cut layer SPACING rules: EXTENSION: Specifies that the given extension should be extended on the cut edges, that do not fulfill the overhang value defined in the ENCLOSUREEDGE OPPOSITE rule, before the specified cut spacing is applied between the extended edges to a metal in the second layer.
April 2012 25 Product Version 11.1
NONEOLCONVEXCORNER: Specifies the spacing of a cut to a convex corner that does not touch a EOL edge with width less than the specified EOL width of a metal shape containing the cut in the form of a triangle formed by the smaller edge length or the specified cut spacing. ABOVEWIDTH: Specifies that the cut to different-net metal spacing only applies on the above metal layer wire with width greater than or equal to the specified width. MASKOVERLAP: Specifies the cut to metal containing the cut spacing on the overlap area of two different masks.
Add the following in cut layer EOLENCLOSURE rules: ABOVE/BELOW: Specifies that the EOL enclosure rule only applies on the above or below routing layer. PARALLELEDGE: Indicates that the EOL enclosure rule only applies if there is a parallel edge on one side that is less than the specified parallel space length. MINLENGTH: Indicates that the EOL enclosure only applies if EOL edge has length greater than or equal to the specified minimum length along both sides.
Other cut layer enhancements include: Enhanced CUTCLASS SPACINGTABLE Rule The number of possible inter-layer CUTCLASS SPACINGTABLE rules has been increased from two to three. Now you can specify up to three additional tables for intercut layer spacing - one with SAMENET, one with SAMEMETAL, and one with neither of them. Earlier, you could specify up to two cut class SPACINGTABLE rules. For more information, see Defining Cut Layer Properties to Create 32/28 nm and Smaller Nodes Rules in the "LEF Syntax" chapter of the LEF/DEF Language Reference Guide.
April 2012
26
Add WIDTH in routing layer FORBIDDENSPACING rule, to indicate that it will be a violation if two wires are at a certain distance apart that is greater than or equal to the specified minimum spacing and less than or equal to the maximum spacing and are within a specified distance from a wire having a width greater than or equal to the specified minimum width and has a parallel run length greater than the PRL value.
Add NONEOLCORNERONLY in PARALLELEDGE in routing layer SPACING ENDOFLINE rule, to indicate that the parallel edge neighbor wire must contain a corner that does not belong to another EOL edge.
Add WIDTH in SPACING NOTCHLENGTH to routing layer SPACING rules, to indicate that the minimum notch length spacing only applies if the width of a single side of the notch is greater than or equal to the specified notch width of the side.
Add CONCAVECORNER in MINADJACENTLENGTH in routing layer MINSTEP rules, to indicate that if a concave corner is between two convex corners, and if one of the length of the edges to form the concave corner is less than the specified minimum adjacent length, then the length of the other edge must be greater than or equal to the specified minimum step length.
Add the following keywords to routing layer SPACINGTABLE rules: SAMEMASK: Specifies that the spacing(s) only apply to objects that belong to the same mask. EXCEPTWITHIN in WIDTH: Specifies that any wire that is at a distance greater than or equal to the specified low exclude spacing and less than the high exclude spacing away from the wide wire must be ignored.
Add the following new keywords in WRONGDIRECTION to routing layer SPACING rules: PRL: Indicates that the wrong direction spacing is only applied if long/side edges of two wires have common parallel run length greater than the specified PRL value. LENGTH: Specifies that the wrong direction spacing is switched to apply to the short/end edges if the length of both the wires is less than or equal to the specified length.
Other routing layer enhancements include: Enhanced LENGTH in Routing Layer PROTRUSIONWIDTH Rule If the given value of LENGTH in PROTRUSIONWIDTH is zero, then the length of the protrusion wire is irrelevant. In this case, the width of the protrusion wire should always be checked independent of the length of the wire. For more information, see Defining Routing Layer Properties to Create 32/28 nm and Smaller Nodes Rules in the "LEF Syntax" chapter of the LEF/DEF Language Reference Guide.
April 2012 27 Product Version 11.1
Macro Enhancements
You can define new MACRO properties to create rules for macros that: Add CELLROW in EDGETYPE rule, to indicate which cell row the edge type is defined on for multiple height cells. In addition, the EDGETYPE rule has been enhanced to define multiple edge types on an edge, including single height cells, such that different type of constraints can be defined on an edge. For more information, see Macro in the "LEF Syntax" chapter of the LEF/DEF Language Reference Guide.
April 2012
28
7
Flip Chip
Bump Placement Enhanced To Check Overlapping Based on Real Geometry findPinPortNumber Enhanced To Report Port Number for IO Cells viewBumpConnection Enhanced To Automatically Redraw Flightlines after Bump Manipulation
April 2012
29
April 2012
30
April 2012
31
8
Netlist Verilog
New Global Variable To Ignore Non-fatal Verilog Netlist Errors setDoAssign Exclusion File Enhanced To Support Bus Names without Qualifiers
April 2012
32
9
Netlist-to-Netlist
runN2NOpt Enhanced To Support Semiauto Mode
In this release, the runN2NOpt command has been enhanced to support the semiauto mode to import or export data from or to EDI System and allow you to execute a script in between. In previous releases, you could run the command only either completely automatically (default auto mode) or completely customized (custom mode). The new semiauto mode now allows you to use a custom script while using the standard EDI System interface flow as in auto mode. Additionally, you can use the new -floorplanOnly parameter to save and reload only the floorplan in the semiauto mode.
April 2012
33
10
Partitioning
DPT Colorizer Support editPin Command Enhanced Enhanced Pin QoR for Multi-Partition Nets Incremental assembleDesign Capability Enhanced insertPtnFeedthrough Command Enhanced New Clone Place Menu Command New Commands for Setting Pin Assignment Mode Support for Wildcards in Net Name
April 2012
34
April 2012
37
11
Floorplanning
createPlaceBlockage Command Enhanced Enhanced Support for High Effort planDesign flipOrRotateObject Command Enhanced Floorplan Toolbox Enhanced Plan Design GUI Form Enhanced Specify Floorplan GUI Form Enhanced Support for Adding Named Prefixes to Blockages Support for Aligning Objects of Mixed Type Support for Shifting SDP Groups
April 2012
39
April 2012
42
April 2012
43
12
Multiple Supply Voltage (MSV)
New Option for addPowerSwitch
April 2012
44
13
NanoRoute Router
Enhanced NanoRoute Reporting
April 2012
45
14
Metal Fill and Via Fill
New trimMetalFill Parameter To Support Non-Default Spacing Metal Fill Enhanced To Honor Non-default Rule Hardspacing trimMetalFillNearNet -createFillBlockage Now Supports Custom Names New trimMetalFillNearNet Parameter To Remove Metal Fill Near Critical Nets addMetalFill Now Supports Check Board Vias addMetalFill Enhanced To Allow User-specified List of Critical Nets in Timing-aware Mode setMetalFill -diagOffset Now Supports 0 Value
first set -diagOffset offset_x offset_y in setMetalFill. In previous releases, both offset_x and offset_y values had to be larger than 0. In this release, setMetalFill has been enhanced to support the value 0 for offset_x and offset_y. This makes it possible for you to add metal fill staggered in one direction. For example, you can choose to have just a horizontal offset as follows: setMetalFill -diagOffset 6 0
April 2012
48
15
Timing Budgeting
Added Global Variables justifyBudget Enhanced to Honor report_timing_format Global Variable New Command to Reset Modified Budget
April 2012
50
16
RC Extraction
preRoute Extraction Enhanced to Support height_over Construct in the ICT or QRC Technology File RC Extraction Mode GUI Enhanced for Specifying Standalone Signoff QRC Settings Support for Selecting SPEF par_value Triplet Field and Assigning to Corresponding RC Corner
preRoute Extraction Enhanced to Support height_over Construct in the ICT or QRC Technology File
Earlier, the ICT construct, height_over was not supported in preRoute extraction. In this release, preRoute extraction is enhanced to support height_over construct defined in the 20NM interconnect technology (ICT) file or QRC technology file.
RC Extraction Mode GUI Enhanced for Specifying Standalone Signoff QRC Settings
Earlier, the RC Extraction Mode form only supported the auto cmd mode, wherein, the QRC cmd file was automatically created from the EDI settings. In this release, the form is enhanced to provide additional controls for running Standalone Signoff QRC . The Signoff Run Settings include options to specify Run Mode including Auto, Partial or Custom mode, Command Type, Command File name, and Layer Map File name. This enhancement enables users to set QRC's CCL scripts in RC extraction form in the GUI.
April 2012
51
For details, see Specify RC Extraction Mode section in the EDI Menu Reference document.
Support for Selecting SPEF par_value Triplet Field and Assigning to Corresponding RC Corner
In this release, spefIn command is enhanced to allow selection of SPEF par_value triplet field and its assignment to the appropriate RC corner. For this, the following parameters are added to the command: -early_rc_corner: Annotates the parasitics to the early RC corner for multi-corner analysis. -early_spef_field: Specifies the field of the triplet values in spef to be loaded corresponding to early RC corner. -late_rc_corner: Annotates the parasitics to the late RC corner for multi-corner analysis. -late_spef_field: Specifies the field of the triplet values in spef to be loaded corresponding to late RC
April 2012 52 Product Version 11.1
corner. -spef_field: Specifies the field of the triplet values in spef to be loaded. The -spef_field parameter is used only when an existing RC corner is specified or when no corner is specified. This parameter is mutually exclusive to the -late_rc_corner, -early_rc_corner, late_spef_field, and -early_spef_field parameters.
April 2012
53
17
Timing
Reporting Enhancements Timing Library Enhancements SSTA Enhancements Other Enhancements Default Behavior Changes in Timing
Reporting Enhancements
report_property/get_property Supports Power and Ground Pins Added New Global to Report Constant Mismatch
SSTA Enhancements
Ability to Report Sensitivity Details of Process Parameters
You can use the following global variable to report sensitivity details of each process parameter for slack, arrival, and required times at the endpoint of a timing path: timing_ssta_report_endpoint_description
Other Enhancements
Added New Parameters to MMMC RC Corner Commands Added New Global to Use Clock Slew for Check Arcs Ability to Reset/Update MMMC Data By Default Added New write_sdf Parameters
The following new global variable has been added to compute delays for check arc based on slews: timing_path_based_use_min_max_clock_slew_for_check When set to true, slews for delay calculation of check arc are deduced from the following: Slew at the signal pin of check arc is considered as retimed slew. For the reference pin slews of both min/max (or setup/hold) modes are considered . Based on the above slew conditions, two delays for a check arc are calculated. The worst value is considered as the check arc delay. By default this global variable is set to false.
Impact This behavior change was made so that paths going from/to/through inout parts are also reported.
April 2012
then the report will show paths starting from the internal part of inout, and paths ending at inout part will be excluded. If any other collection (not specified using all_inputs and all_outputs commands) is specified, then paths to both the parts will not be reported.
April 2012
57
18
Timing Debug
Timing Debug Paths Now Nested Trees
April 2012
58
19
Verification
Enhanced Verify AC Limit Form Enhanced Verify Cut Density Form Enhanced Verify Metal Density Form Enhanced Verify Power Via Form Enhanced Verify Routing Constraints Form New Verify Geometry Option To Report Out-of-die Objects Support for Rectangular Edges Enhanced Verify Geometry Support for LEF Properties verifyGeometry -noMinSpacing Enhanced To Ignore All Spacing Rule Checks verifyACLimit Enhanced To Perform Peak and Average Current Analysis
April 2012
59
April 2012
60
April 2012
61
April 2012
62
April 2012
63
-method: Use this parameter to specify the type of checks to be performed -- rms, peak, or avg. Note: Only the Advanced Analysis Engine (AAE) delay calculation engine supports I peak and I avg calculation. If you specify -method as peak or avg but AAE is not enabled, the tool displays the following error message: **ERROR(ENCVAC-92): verifyACLimit checks for -method peak or avg requires the AAE delay calculation engine. You must use setDelayCalMode -engine aae in EDI, before running verifyACLimit for peak or avg checks. -scaleCurrent: Use this parameter to specify the scale factor for the current. verifyACLimit multiplies the final current value (I rms , I peak , I avg ) by the specified scale factor before comparing it to the appropriate current limit to check for violations. This allows derating. -minPeakDutyRatio: Use this parameter to change the default minimum duty ratio value for I peak calculation. If a signal net has a duty ratio less than the minimum duty ratio, the minimum duty ratio will be used. -minPeakFreq: Use this parameter to ignore the I peak current limit calculation for signal nets that have an effective frequency below the specified value in Hertz. -avgRecovery: Use this parameter to specify the recovery factor for calculating the I avg current density with recovery. By default, the recovery factor (per layer) is read from the QRC techfile. If you specify -avgRecovery em_recover, it overrides the QRC tech em_recover factor for all the layers used in I avg limits. In addition to the above, the following parameters were also added to verifyACLimit: -useQrcTech: Use this parameter to force verifyACLimit to use the QRC tech file instead of the LEF technology file for Irms checks. Note: If either I peak or Iavg is also checked, all checks, including Irms, will use the QRC tech file. -deltaTemp: This parameter specifies the maximum temperature rise permitted in units of Celsius. This value is normally used in the QRC tech file for the RMS current limit equation.
April 2012
66
20
Yield Analysis
The reportYield command is now obsolete. This command still works in this release but will be removed in the next major release of the software.
April 2012
67
21
Power Calculation
Annotation Summary Reporting Enhancements Enhanced Register Gating Efficiency Reporting New Parameter to Control Output of Clock Gates Previously Supported but not Documented Parameter Added to Documentation
April 2012
70
22
Rail Analysis
auto_fetch_dc_sources Command Enhanced New Parameter to Support User-Specified Technology File Resistance Extraction for T/L Junctions set_power_data -instance Command Parameter Enhanced to Honor -format ascii Parameter set_rail_analysis_mode -power_up_fast_mode Parameter Default Behavior Changed Support for Decoupling Capacitance Static Violation GIF Plot Support for Embedded Bumps in Hierarchical TSV Designs
April 2012
71
The auto_fetch_dc_sources command has been enhanced to support IR drop analysis for a hierarchical TSV design. When you specify the auto_fetch_dc_sources command to fetch the voltage sources from the LEF file, the command not only searches for the P/G pin of the pad connected to the specified P/G net, but also fetches for the embedded bump property definition for the P/G pin. The port that contains the point defined in the embedded bump property is considered as the center point of the embedded bump. The following LEF file syntax shows how to define an embedded bump: PROPERTYDEFINITIONS PIN EMBEDDEDBUMP STRING; END PROPERTYDEFINITIONS MACRO SUBSYS CLASS BLOCK; PIN VDD USE POWER ; PROPERTY EMBEDDEDBUMP M7 100 50; PORT LAYER M7; POLYGONE xxx; END END VDD END SUBSYS
The following command saves the voltage source name of the embedded bump, the pad location x and y coordinates, and the layer data to a file named bump.pp: save_pad_location format xy tsv file bump.pp The syntax of the pad location file is: <block_instance_name>/EmbeddedBump<No.> x y <layer_name>
April 2012
73
23
Early Rail Analysis
Auto Trace Function for Switched Nets Supported New Parameters to Control Virtual Followpin Generation
April 2012
74
24
Mixed-Signal Interoperability
GUI Updates New Commands Added
GUI Updates
The Integration Constraints Editor form now includes the following new buttons: Set OutsideSpacing, Set ShieldWidth, Set ShieldGap, and Set TandemWidth.
April 2012
75
setIntegRouteConstraint The setIntegRouteConstraintcommand applies specialty routing constraints in the database for nets in the designs. It allows creation of differential pair, match pair, shield nets, and nets with non-default rules. The following new parameters have been added to this command: -shieldWidth -shieldGap -tandemWidth -groupToOutsideSpacing
April 2012
76
25
Clock Concurrent Optimization
This release is enhanced to provide a new technology called Clock Concurrent Optimization (CCOpt), which is a single-step process that optimizes both the clock tree and the datapath to meet global timing constraints. As clock trees become more complex in todays high-performance designs, with considerations required for aggressive chip frequency, clock gating, and power domains, a skew-driven clock tree synthesis (CTS) solution is not the best approach. EDI Systems CCOpt technology combines CTS with power, performance, and area optimization. Compared to the traditional skew-driven CTS, this technology provides a 10% improvement in design performance and total power, a 30% reduction in clock power and area, and a 30% reduction in dynamic IR drop. The following commands support this feature: ccoptDesign: Performs CCOpt on the current loaded design in the EDI system. This command optimizes both the clock tree and the datapath to meet global timing constraints generateCCOptRCFactor: Automatically computes resistance and capacitance multipliers for each operating condition and creates a script that sets these multipliers getCCOptMode: Displays information about the setCCOptMode command parameters in the EDI System log file and in the EDI System console setCCOptMode: Sets global parameters for ccoptDesign For more information about the commands, see the Clock Concurrent Optimization Commands chapter in the EDI System Text Command Reference. For more information about the CCOpt flow, see the Clock Concurrent Optimization section in the Synthesizing Clock Trees chapter in the EDI System User Guide.
April 2012
77
26
Clock Tree Synthesis
New Parameter Added to Size Up Gating Components to Maximum setCTSmode -routeClkNet Enhanced to Route Clock Nets after Synthesis or Optimization
April 2012
78
27
OpenAccess
New Beta Control Variable
The following commands will now work using a new beta control variable. copy_design copy_design_hier compare_cellview save_abstract saveDesign -hier set_cell_binding Contact your Cadence representative for more information.
April 2012
79
28
TSV
New Option Added to the Create TSV/Bump Form New Options Added to the Assign TSV/Bump Form
April 2012
80
29
Power Planning
New Option for setAddStripeMode
April 2012
81
30
ECO Flows
New Flow Added to Make Late Logic Changes
April 2012
82