With the slowing of Moore’s law, computer architects have turned to domain-specific hardware spec... more With the slowing of Moore’s law, computer architects have turned to domain-specific hardware specialization to continue improving the performance and efficiency of computing systems. However, specialization typically entails significant modifications to the software stack to properly leverage the updated hardware. The lack of a structured approach for updating both the compiler and the accelerator in tandem has impeded many attempts to systematize this procedure. We propose a new approach to enable flexible and evolvable domain-specific hardware specialization based on coarse-grained reconfigurable arrays (CGRAs). Our agile methodology employs a combination of new programming languages and formal methods to automatically generate the accelerator hardware and its compiler from a single source of truth. This enables the creation of design-space exploration frameworks that automatically generate accelerator architectures that approach the efficiencies of hand-designed accelerators, wit...
2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2020
To effectively minimize static power for a wide range of applications, power domains for a coarse... more To effectively minimize static power for a wide range of applications, power domains for a coarse-grained reconfigurable array (CGRA) need to be finer-grained than a typical ASIC. However, the special isolation logic needed to ensure electrical protection between off and on domains makes fine-grained power domains area- and timing-inefficient. We propose a novel design of the CGRA routing fabric that intrinsically provides boundary protection. This technique reduces the area overhead of boundary protection between power domains for the CGRA from around 9% to less than 1% and removes the delay from the isolation cells. However, with this design choice, we cannot leverage the conventional UPF-based flow to introduce power domain boundary protection. We create compiler-like passes that iteratively introduce the needed design transformations, and formally verify the passes with satisfiability modulo theories (SMT) methods. These passes also allow us to optimize how we handle test and debug signals through the off tiles. We use our framework to insert power domains into an SoC with an ARM Cortex M3 processor and a CGRA with 32 × 16 processing element (PE) and memory tiles and 4MB secondary memory. Depending on the size of the applications mapped, our CGRA achieves up to an 83% reduction in leakage power and 26% reduction in total power versus a CGRA without multiple power domains, for a range of image processing and machine learning applications.
Achieving high code reuse in physical design flows is challenging but increasingly necessary to b... more Achieving high code reuse in physical design flows is challenging but increasingly necessary to build complex systems. Unfortunately, existing approaches based on parameterized Tcl generators support very limited reuse and struggle to preserve reusable code as designers customize flows for specific designs and technologies. We present a vision and framework based on modular flow generators that encapsulates coarse-grain and fine-grain reusable code in modular nodes and assembles them into complete flows. The key feature is a flow consistency and instrumentation layer embedded in Python, which supports mechanisms for rapid and early feedback on inconsistent composition. The approach gradually types the Tcl language and allows both automatic and user-annotated static assertion checks. We evaluate the design flows of successive generations of silicon prototypes designed in TSMC16, TSMC28, TSMC40, SKY130, and IBM180 technologies, showing how our approach can enable significant code reus...
India is a secular state, world's largest democracy and second most populous country (1,205,073,6... more India is a secular state, world's largest democracy and second most populous country (1,205,073,612 in 2012) emerged as a major power in the 1990s. It is militarily strong, has major cultural influence and a fast-growing and powerful economy. With its many languages, cultures and religions, India is highly diverse.. Religions not only have been serving as the foundation of the culture of India, but have had enormous effect on Indian politics and society. In India, religion is a way of life. It is an integral part of the entire Indian tradition. 4 A vast majority of Indians, (over 93%) associate themselves with a religion. According to the 2001 census 80.5% of the population of India practice Hinduism, Islam (13.4%), Christianity (2.3%), Sikhism (1.9%), Buddhism (0.8%) and Jainism (0.4%) are the other major religions followed by the people of India. 5 There are also numerous minor tribal traditions, though these have been affected by major religions such as Hinduism, Buddhism and Christianity. In India, we have a criminal code that is equally applicable to all, irrespective of religion, caste, gender and domicile. However, a similar code does not exist especially with respect to divorce and succession and we are still governed by the personal laws. These personal laws are varied in their sources, philosophy and application. Thus, a major constraint arises while bringing people governed by different religions under one roof. It is in this diverse context we have to analyse the necessity of Uniform civil code. The expression is combination of three terms Uniform; Civil; Code. Uniform means 'same in Similar conditions', Civil derived from Latin word 'civilis' means 'citizen'; when it is used as Adjective of law it means 'pertaining to private rights and remedies of a citizen'; Code means 'Codified laws'. Indeed in legal regime, UCC is confined to having uniform family code for every member across the communities i.e. Hindu, Muslim, Christian, Parsi or Jews residing
Privatization of higher education is not a new concept in India. While on one hand, expenditure f... more Privatization of higher education is not a new concept in India. While on one hand, expenditure for higher education is gradually increasing day by day, on the other government is gradually decreasing its financial support to the higher education in public sector. As a result, profit making higher education institution is growing rapidly in private sector. How far the rapid growth of higher education institutions in private sector affect various dimension of higher education in India more specifically equity and quality of higher education is the subject matter of discussion of this paper.
Many DNN accelerators have been proposed and built using different microarchitectures and program... more Many DNN accelerators have been proposed and built using different microarchitectures and program mappings. To fairly compare these different approaches, we modified the Halide compiler to produce hardware as well as CPU and GPU code, and show that Halide's existing scheduling language has enough power to represent all existing dense DNN accelerators. Using this system we can show that the specific dataflow chosen for the accelerator is not critical to achieve good efficiency: many different dataflows yield similar energy efficiency with good performance. However, finding the best blocking and resource allocation is critical, and we achieve a 2.6X energy savings over Eyeriss system by reducing the size of the local register file. Adding an additional level in the memory hierarchy saves an additional 25%. Based on these observations, we develop an optimizer that automatically finds the optimal blocking and storage hierarchy. Compared with Eyeriss system, it achieves up to 4.2X en...
Progressive myoclonic epilepsy is defined as a neurological disorder manifesting classically as a... more Progressive myoclonic epilepsy is defined as a neurological disorder manifesting classically as a trio of progressive myoclonic seizures, cognitive impairment, ataxia, and/or any other neurologic defects. Syndromic association is seen specifically with Unverricht–Lundborg disease, myoclonic epilepsy with ragged red fibers, neuronal ceroid lipofuscinoses, Lafora body disease (LBD), and sialidoses. LBD is characterized by an autosomal recessive inheritance. Adolescent-onset progressive myoclonic epilepsy is seen along with Lafora bodies in the brain, liver, and the ducts of the sweat glands in skin. We hereby present a case of a 17-year-old male, presenting with progressing myoclonus and Lafora bodies in axillary skin biopsy, thereby confirming the diagnosis of LBD.
Many areas in power systems require solving one or more nonlinear optimization problems. Particle... more Many areas in power systems require solving one or more nonlinear optimization problems. Particle swarm optimization (PSO), part of the swarm intelligence family, is known to effectively solve large-scale nonlinear optimization problems. This paper presents a detailed overview of the basic concepts of PSO and its variants. The proposed method utilizes the Particle Swarm Optimization (PSO) algorithm approach to generate the optimal tuning parameters. The paper deals with optimal tuning of proportional integral derivative (PID) controller for controlling the output obtained and hence to minimize the integral of absolute errors. The main objective is to obtain a stable, robust and controlled system by tuning the PID controller using Particle Swarm Optimization (PSO) algorithm. It is necessary to use PID controller to increase the stability and performance of the system. Fast tuning of optimum PID controller parameter yield high quality solution. This paper demonstrated in detail how to...
Although an agile approach is standard for software design, how to properly adapt this method to ... more Although an agile approach is standard for software design, how to properly adapt this method to hardware is still an open question. This work addresses this question while building a system on chip (SoC) with specialized accelerators. Rather than using a traditional waterfall design flow, which starts by studying the application to be accelerated, we begin by constructing a complete flow from an application expressed in a high-level domain-specific language (DSL), in our case Halide, to a generic coarse-grained reconfigurable array (CGRA). As our understanding of the application grows, the CGRA design evolves, and we have developed a suite of tools that tune application code, the compiler, and the CGRA to increase the efficiency of the resulting implementation. To meet our continued need to update parts of the system while maintaining the end-to-end flow, we have created DSL-based hardware generators that not only provide the Verilog needed for the implementation of the CGRA, but also create the collateral that the compiler/mapper/place and route system needs to configure its operation. This work provides a systematic approach for desiging and evolving highperformance and energy-efficient hardware-software systems for any application domain. Index Terms-accelerator architectures, DSLs, compilers This work is funded by DARPA's Domain-Specific SoC (DSSoC) program and Stanford's Agile Hardware Center and SystemX Alliance.
Sarcomatoid carcinoma of the urinary bladder, a rare neoplasm is composed of adenocarcinoma as we... more Sarcomatoid carcinoma of the urinary bladder, a rare neoplasm is composed of adenocarcinoma as well as sarcoma components. Its histogenesis and biological behaviourare controversial. Literature has documented approximately 70 cases in the form of case reports or small series. Herein, we report a case of sarcomatoid carcinoma of the urinary bladder in a 51-year-old male having a history of painless, gross hematuria with clots. The early postoperative period was uneventful and the biochemical and imaging findings were good 7 months postoperatively. However, the patient was lost for follow-up.
In this study we report on a three-stage design of algal assisted constructed wetland -microbial ... more In this study we report on a three-stage design of algal assisted constructed wetland -microbial fuel cell incorporated sand filter for efficient treatment of wastewater and bioelectricity generation, simulating a core of it. It aims for the complete removal of organic matter and other inorganic pollutants such as NO3-, NH4+ and PO43-. The conductive material equipped anode region of constructed wetland (CW) performed better for organic removal, and the system efficiently removed COD up to 96.37 ± 2.6%. The algal biomass passively aerated the cathode region and resulted in efficient removal of 85.14 ± 10.73% of NH4+ and 69.03 ± 10.14% of PO43-. The additional sand filter provided anaerobic situation favorable for removal of NO3- up to 68.41 ± 7.63%. The maximum power and current densities achieved in the system were 33.14 mW m-3 and 235.0 mA m-3, respectively.
Dental implants have become a significant aspect of tooth replacement in prosthodontic treatment.... more Dental implants have become a significant aspect of tooth replacement in prosthodontic treatment. Despite of high success rates, complications and failures still occur. The long-term success of an implant depends upon the stress distribution on the dental implant and its capacity to withstand the induced stresses due to the loads. This study evaluates the stress distribution on the dental implant and mandibular first molar tooth. The purpose of this study has been to develop a three-dimensional model of osseointegrated dental prosthesis and natural molar tooth and to analyse the stress distribution and the total deformation under various loading conditions. The basic purpose of the bioengineering which analyse biomechanical principles in vitro studies has been to extrapolate the findings relevant to the risk factors instead of experiencing them empirically in clinical application. The 3D modelling of tooth and the dental implant has been formulated in solid works software. Total equ...
With the slowing of Moore’s law, computer architects have turned to domain-specific hardware spec... more With the slowing of Moore’s law, computer architects have turned to domain-specific hardware specialization to continue improving the performance and efficiency of computing systems. However, specialization typically entails significant modifications to the software stack to properly leverage the updated hardware. The lack of a structured approach for updating both the compiler and the accelerator in tandem has impeded many attempts to systematize this procedure. We propose a new approach to enable flexible and evolvable domain-specific hardware specialization based on coarse-grained reconfigurable arrays (CGRAs). Our agile methodology employs a combination of new programming languages and formal methods to automatically generate the accelerator hardware and its compiler from a single source of truth. This enables the creation of design-space exploration frameworks that automatically generate accelerator architectures that approach the efficiencies of hand-designed accelerators, wit...
2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2020
To effectively minimize static power for a wide range of applications, power domains for a coarse... more To effectively minimize static power for a wide range of applications, power domains for a coarse-grained reconfigurable array (CGRA) need to be finer-grained than a typical ASIC. However, the special isolation logic needed to ensure electrical protection between off and on domains makes fine-grained power domains area- and timing-inefficient. We propose a novel design of the CGRA routing fabric that intrinsically provides boundary protection. This technique reduces the area overhead of boundary protection between power domains for the CGRA from around 9% to less than 1% and removes the delay from the isolation cells. However, with this design choice, we cannot leverage the conventional UPF-based flow to introduce power domain boundary protection. We create compiler-like passes that iteratively introduce the needed design transformations, and formally verify the passes with satisfiability modulo theories (SMT) methods. These passes also allow us to optimize how we handle test and debug signals through the off tiles. We use our framework to insert power domains into an SoC with an ARM Cortex M3 processor and a CGRA with 32 × 16 processing element (PE) and memory tiles and 4MB secondary memory. Depending on the size of the applications mapped, our CGRA achieves up to an 83% reduction in leakage power and 26% reduction in total power versus a CGRA without multiple power domains, for a range of image processing and machine learning applications.
Achieving high code reuse in physical design flows is challenging but increasingly necessary to b... more Achieving high code reuse in physical design flows is challenging but increasingly necessary to build complex systems. Unfortunately, existing approaches based on parameterized Tcl generators support very limited reuse and struggle to preserve reusable code as designers customize flows for specific designs and technologies. We present a vision and framework based on modular flow generators that encapsulates coarse-grain and fine-grain reusable code in modular nodes and assembles them into complete flows. The key feature is a flow consistency and instrumentation layer embedded in Python, which supports mechanisms for rapid and early feedback on inconsistent composition. The approach gradually types the Tcl language and allows both automatic and user-annotated static assertion checks. We evaluate the design flows of successive generations of silicon prototypes designed in TSMC16, TSMC28, TSMC40, SKY130, and IBM180 technologies, showing how our approach can enable significant code reus...
India is a secular state, world's largest democracy and second most populous country (1,205,073,6... more India is a secular state, world's largest democracy and second most populous country (1,205,073,612 in 2012) emerged as a major power in the 1990s. It is militarily strong, has major cultural influence and a fast-growing and powerful economy. With its many languages, cultures and religions, India is highly diverse.. Religions not only have been serving as the foundation of the culture of India, but have had enormous effect on Indian politics and society. In India, religion is a way of life. It is an integral part of the entire Indian tradition. 4 A vast majority of Indians, (over 93%) associate themselves with a religion. According to the 2001 census 80.5% of the population of India practice Hinduism, Islam (13.4%), Christianity (2.3%), Sikhism (1.9%), Buddhism (0.8%) and Jainism (0.4%) are the other major religions followed by the people of India. 5 There are also numerous minor tribal traditions, though these have been affected by major religions such as Hinduism, Buddhism and Christianity. In India, we have a criminal code that is equally applicable to all, irrespective of religion, caste, gender and domicile. However, a similar code does not exist especially with respect to divorce and succession and we are still governed by the personal laws. These personal laws are varied in their sources, philosophy and application. Thus, a major constraint arises while bringing people governed by different religions under one roof. It is in this diverse context we have to analyse the necessity of Uniform civil code. The expression is combination of three terms Uniform; Civil; Code. Uniform means 'same in Similar conditions', Civil derived from Latin word 'civilis' means 'citizen'; when it is used as Adjective of law it means 'pertaining to private rights and remedies of a citizen'; Code means 'Codified laws'. Indeed in legal regime, UCC is confined to having uniform family code for every member across the communities i.e. Hindu, Muslim, Christian, Parsi or Jews residing
Privatization of higher education is not a new concept in India. While on one hand, expenditure f... more Privatization of higher education is not a new concept in India. While on one hand, expenditure for higher education is gradually increasing day by day, on the other government is gradually decreasing its financial support to the higher education in public sector. As a result, profit making higher education institution is growing rapidly in private sector. How far the rapid growth of higher education institutions in private sector affect various dimension of higher education in India more specifically equity and quality of higher education is the subject matter of discussion of this paper.
Many DNN accelerators have been proposed and built using different microarchitectures and program... more Many DNN accelerators have been proposed and built using different microarchitectures and program mappings. To fairly compare these different approaches, we modified the Halide compiler to produce hardware as well as CPU and GPU code, and show that Halide's existing scheduling language has enough power to represent all existing dense DNN accelerators. Using this system we can show that the specific dataflow chosen for the accelerator is not critical to achieve good efficiency: many different dataflows yield similar energy efficiency with good performance. However, finding the best blocking and resource allocation is critical, and we achieve a 2.6X energy savings over Eyeriss system by reducing the size of the local register file. Adding an additional level in the memory hierarchy saves an additional 25%. Based on these observations, we develop an optimizer that automatically finds the optimal blocking and storage hierarchy. Compared with Eyeriss system, it achieves up to 4.2X en...
Progressive myoclonic epilepsy is defined as a neurological disorder manifesting classically as a... more Progressive myoclonic epilepsy is defined as a neurological disorder manifesting classically as a trio of progressive myoclonic seizures, cognitive impairment, ataxia, and/or any other neurologic defects. Syndromic association is seen specifically with Unverricht–Lundborg disease, myoclonic epilepsy with ragged red fibers, neuronal ceroid lipofuscinoses, Lafora body disease (LBD), and sialidoses. LBD is characterized by an autosomal recessive inheritance. Adolescent-onset progressive myoclonic epilepsy is seen along with Lafora bodies in the brain, liver, and the ducts of the sweat glands in skin. We hereby present a case of a 17-year-old male, presenting with progressing myoclonus and Lafora bodies in axillary skin biopsy, thereby confirming the diagnosis of LBD.
Many areas in power systems require solving one or more nonlinear optimization problems. Particle... more Many areas in power systems require solving one or more nonlinear optimization problems. Particle swarm optimization (PSO), part of the swarm intelligence family, is known to effectively solve large-scale nonlinear optimization problems. This paper presents a detailed overview of the basic concepts of PSO and its variants. The proposed method utilizes the Particle Swarm Optimization (PSO) algorithm approach to generate the optimal tuning parameters. The paper deals with optimal tuning of proportional integral derivative (PID) controller for controlling the output obtained and hence to minimize the integral of absolute errors. The main objective is to obtain a stable, robust and controlled system by tuning the PID controller using Particle Swarm Optimization (PSO) algorithm. It is necessary to use PID controller to increase the stability and performance of the system. Fast tuning of optimum PID controller parameter yield high quality solution. This paper demonstrated in detail how to...
Although an agile approach is standard for software design, how to properly adapt this method to ... more Although an agile approach is standard for software design, how to properly adapt this method to hardware is still an open question. This work addresses this question while building a system on chip (SoC) with specialized accelerators. Rather than using a traditional waterfall design flow, which starts by studying the application to be accelerated, we begin by constructing a complete flow from an application expressed in a high-level domain-specific language (DSL), in our case Halide, to a generic coarse-grained reconfigurable array (CGRA). As our understanding of the application grows, the CGRA design evolves, and we have developed a suite of tools that tune application code, the compiler, and the CGRA to increase the efficiency of the resulting implementation. To meet our continued need to update parts of the system while maintaining the end-to-end flow, we have created DSL-based hardware generators that not only provide the Verilog needed for the implementation of the CGRA, but also create the collateral that the compiler/mapper/place and route system needs to configure its operation. This work provides a systematic approach for desiging and evolving highperformance and energy-efficient hardware-software systems for any application domain. Index Terms-accelerator architectures, DSLs, compilers This work is funded by DARPA's Domain-Specific SoC (DSSoC) program and Stanford's Agile Hardware Center and SystemX Alliance.
Sarcomatoid carcinoma of the urinary bladder, a rare neoplasm is composed of adenocarcinoma as we... more Sarcomatoid carcinoma of the urinary bladder, a rare neoplasm is composed of adenocarcinoma as well as sarcoma components. Its histogenesis and biological behaviourare controversial. Literature has documented approximately 70 cases in the form of case reports or small series. Herein, we report a case of sarcomatoid carcinoma of the urinary bladder in a 51-year-old male having a history of painless, gross hematuria with clots. The early postoperative period was uneventful and the biochemical and imaging findings were good 7 months postoperatively. However, the patient was lost for follow-up.
In this study we report on a three-stage design of algal assisted constructed wetland -microbial ... more In this study we report on a three-stage design of algal assisted constructed wetland -microbial fuel cell incorporated sand filter for efficient treatment of wastewater and bioelectricity generation, simulating a core of it. It aims for the complete removal of organic matter and other inorganic pollutants such as NO3-, NH4+ and PO43-. The conductive material equipped anode region of constructed wetland (CW) performed better for organic removal, and the system efficiently removed COD up to 96.37 ± 2.6%. The algal biomass passively aerated the cathode region and resulted in efficient removal of 85.14 ± 10.73% of NH4+ and 69.03 ± 10.14% of PO43-. The additional sand filter provided anaerobic situation favorable for removal of NO3- up to 68.41 ± 7.63%. The maximum power and current densities achieved in the system were 33.14 mW m-3 and 235.0 mA m-3, respectively.
Dental implants have become a significant aspect of tooth replacement in prosthodontic treatment.... more Dental implants have become a significant aspect of tooth replacement in prosthodontic treatment. Despite of high success rates, complications and failures still occur. The long-term success of an implant depends upon the stress distribution on the dental implant and its capacity to withstand the induced stresses due to the loads. This study evaluates the stress distribution on the dental implant and mandibular first molar tooth. The purpose of this study has been to develop a three-dimensional model of osseointegrated dental prosthesis and natural molar tooth and to analyse the stress distribution and the total deformation under various loading conditions. The basic purpose of the bioengineering which analyse biomechanical principles in vitro studies has been to extrapolate the findings relevant to the risk factors instead of experiencing them empirically in clinical application. The 3D modelling of tooth and the dental implant has been formulated in solid works software. Total equ...
Uploads
Papers by Ankita Nayak