MIC1065 Lab4
MIC1065 Lab4
MIC1065 Lab4
DÉPARTEMENT D’INFORMATIQUE
Laboratoire no. 4
Étude pratique de Full-Adder
Par
Ndiaye, Cheikhna Ely Cheikh
Cours MIC1065
Circuits Logiques
__________________________________________________________________________________
Ndiaye 2024 MIC1065-Circuits logiques 1
Baccalauréat en Sciences Informatiques et Électronique (BSIE) UQAM
Lab #4: Etudes pratiques de full-adder
COMMENTAIRE DU CORRECTEUR
__________________________________________________________________________________
Ndiaye 2024 MIC1065-Circuits logiques 2
Baccalauréat en Sciences Informatiques et Électronique (BSIE) UQAM
Lab #4: Etudes pratiques de full-adder
1 But
__________________________________________________________________________________
Ndiaye 2024 MIC1065-Circuits logiques 3
Baccalauréat en Sciences Informatiques et Électronique (BSIE) UQAM
Lab #4: Etudes pratiques de full-adder
Manipulations Et Résultats
Pour ce faire j’ai opte pour un test sur plaquette. et voici la table de verite que j’ai aptenue
avec des entrees a,b et cin puis des sortie s et cout representant le carry.
A B Cin S Cout
0 0 0 0 0
0 0 1 1 0
0 1 0 1 0
0 1 1 0 1
1 0 0 1 0
1 0 1 0 1
1 1 0 0 1
1 1 1 1 1
__________________________________________________________________________________
Ndiaye 2024 MIC1065-Circuits logiques 4
Baccalauréat en Sciences Informatiques et Électronique (BSIE) UQAM
Lab #4: Etudes pratiques de full-adder
1.2 Conversion en vhdl et de notre full-adder
Dans cette partie du laboratoire nous devions à partir du circuit de l’additionneur, trouver
le code vhdl qui lui correspondait.
Une fois avoir coder le Full-adder nous avons dû préparer sa simulation sur xilinx afin
d’obtenir les sorties sur plaquette que nous attendons, c’est-à-dire les allumages de leds
sur plaquette correspondant au code vhdl souhaiter.
Texte du code de notre full-adder
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity abc_vhdl is
port (
a: in std_logic;
b: in std_logic;
cin: in std_logic;
s: out std_logic;
cout: out std_logic);
end abc_vhdl;
begin
s <= a OR b ;
cout <= cin ;
end Behavioral;
__________________________________________________________________________________
Ndiaye 2024 MIC1065-Circuits logiques 5
Baccalauréat en Sciences Informatiques et Électronique (BSIE) UQAM
Lab #4: Etudes pratiques de full-adder
Code abc.ucf
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity abc_vhdl is
port (
a: in std_logic;
b: in std_logic;
cin: in std_logic;
s: out std_logic;
cout: out std_logic);
end abc_vhdl;
begin
s <= a OR b ;
cout <= cin ;
end Behavioral;
Code du top_test
library IEEE
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.NUMERIC_STD.ALL;
entity abc is
__________________________________________________________________________________
Ndiaye 2024 MIC1065-Circuits logiques 6
Baccalauréat en Sciences Informatiques et Électronique (BSIE) UQAM
Lab #4: Etudes pratiques de full-adder
end abc
component abc_vhdl
port (
a: in std_logic;
b: in std_logic;
cin: in std_logic;
s: out std_logic);
cout: out std_logic;
end component
begin abc
uut: abc_vhdl port map;
End;
Process begin
__________________________________________________________________________________
Ndiaye 2024 MIC1065-Circuits logiques 7
Baccalauréat en Sciences Informatiques et Électronique (BSIE) UQAM
Lab #4: Etudes pratiques de full-adder
Une fois ces compilations faites on crée un fichier .bit grâce à la génération automatique
de xilinx. Dès que l’on obtient ce fichier xilinx, on peut passer au test sur plaquette.
Ce test consiste à allumer des interrupteurs pour vérifier si les leds s’allume ou pas.
Si toute les leds s’allume avec le bonne interrupteur notre full-adder marche bien.
Il s’agit en faite d’entrées pour les interrupteurs et de sorties pour les leds.
__________________________________________________________________________________
Ndiaye 2024 MIC1065-Circuits logiques 8
Baccalauréat en Sciences Informatiques et Électronique (BSIE) UQAM
Lab #4: Etudes pratiques de full-adder
2 Analyse et discussion
__________________________________________________________________________________
Ndiaye 2024 MIC1065-Circuits logiques 9
Baccalauréat en Sciences Informatiques et Électronique (BSIE) UQAM
Lab #4: Etudes pratiques de full-adder
3 Conclusion
La plaquette fpga est un moyen efficace et rapide de faire des tests de vhdl et ainsi gagner du
temps tout en utilisant moins de ressources.
__________________________________________________________________________________
Ndiaye 2024 MIC1065-Circuits logiques 10
Baccalauréat en Sciences Informatiques et Électronique (BSIE) UQAM