Elec 2018
Elec 2018
Elec 2018
TELECONTROLE ET GEOLOCALISATION
D’UNE FLOTTE DE VEHICULES
A. CONTEXTE :
Dans une société qui possède un flux de véhicules assez important, les gestionnaires logistiques
(logisticiens) sont confrontés aux difficultés de la bonne gestion de ces véhicules. Par conséquent, un outil de
gestion de la flotte est devenu indispensable.
La solution consiste à équiper les véhicules d’un système de télécontrôle et géolocalisation par GPS afin
de maitriser les données d’activité et d’utilisation en temps réel. Ce système collecte toutes les informations de
géolocalisation et données supplémentaires (niveau de carburant, vitesse de déplacement, température
moteur…) en cas de demande. Puis ces informations sont transférées vers un serveur de stockage pour la
visualisation sur une plateforme Web.
Les fonctionnalités assurées par cette solution sont :
Position en temps réel
Suivi de la vitesse et de la distance parcourue
Température moteur
Arrêt à distance
Geofencing (délimitation géographique des zones d’opération du véhicule)
Détections des accidents
Graphes de Statistiques
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
2
Filière : Systèmes Electroniques Épreuve : Electronique 28
B. PRESENTATION :
Le système est réalisé par une simple connexion d’un boîtier de contrôle et de géolocalisation, sur le
réseau CAN du véhicule au niveau du connecteur OBD2, comme indiqué sur le schéma de la figure suivante :
La communication des données entre différents calculateurs d’un véhicule est assurée par 2 types de bus CAN,
à des débits différents :
• un bus très rapide pour gérer la sécurité (moteur, freinage, ABS, détection chocs, airbags...)
• un bus lent pour gérer tous les accessoires (lampes, boutons, climatisation...).
C. ETUDE DU SYSTEME :
Une carte de contrôle et géolocalisation réalisée à base de 2 microcontrôleurs PIC16F876A (Voir schéma
de la figure 3), est composée de :
- Un premier microcontrôleur qui :
◦ reçoit les coordonnées du module GPS par la liaison série de l’UART,
◦ reçoit l’heure et la date du circuit RTC DS1307 par la liaison I2C
- Un deuxième microcontrôleur qui :
◦ permet la communication avec le bus CAN du véhicule grâce au contrôleur MCP 2515;
◦ assure la connexion internet par le module 3G WCDMA SIM6350
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
3
Filière : Systèmes Electroniques Épreuve : Electronique 28
I- Mesure de la température :
Pour s’assurer de la bonne conduite des véhicules et garantir une longue durée de vie du moteur, il est
important de contrôler sa température.
2. Conditionnement de signal :
La sonde PT100 est placée dans un montage de conditionnement comme montré sur la figure 4 :
L’impulsion " Start " met à zéro le compteur et bloque la porte " ET ". Par conséquent, la tension de
sortie V’ du C.N.A est nulle, et la sortie du comparateur " E " est au niveau haut.
Lorsque " Start " retrouve l’état bas, la porte " ET " est validée, alors le signal d’horloge arrive au
compteur, qui s’incrémente et fait évoluer la sortie du CNA.
Quand V’ atteint U3, la sortie du comparateur passe au niveau bas, ce qui arrête le comptage à la
valeur numérique représentant U3 et autorise le registre à transférer la donnée convertie vers la sortie.
Q10. Donner l’expression de V’ en fonction de N et du quantum q. En déduire V’ en fonction des bits A0, A1,
A2, A3 et du quantum q.
Q11. Pour V’max = 5V, donner la valeur numérique du quantum q.
Q12. Pour U3=2V, Compléter les chronogrammes des signaux H, Q0, Q1, Q2 et Q3 sur le document réponse
DR1.
Q13. Pour U3 = 2V, Compléter les chronogrammes des signaux E et V’ sur le document réponse DR1.
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
6
Filière : Systèmes Electroniques Épreuve : Electronique 28
La carte de contrôle gère les trames CAN par le contrôleur MCP2515, et adapte les niveaux logiques au
bus CAN par le circuit MCP2551 (Voir Annexe 2)
Les formes d’ondes sur le document réponse DR1, montrent l’évolution des lignes CANH et CANL lors
de l’échange de messages entre équipements.
Q14. Sur le Document Réponse DR1, tracer la forme d’onde de la différence VD = CANH – CANL et préciser
les bits dominants et récessifs.
Q15. Quel type de transmission est utilisé pour le bus CAN, et quel est l’effet des bruits sur le signal obtenu ?
Q16. A partir du document constructeur de l’annexe 2, Donner la valeur minimale de la tension de différence
CANH – CANL en sortie, pour un bit dominant.
L’oscillogramme de la figure 6, représente une trame envoyée par le calculateur Boîte à Vitesse, sur les
2 entrées CANH et CANL du circuit MCP2551.
DLC
L’écoute du trafic CAN et le déchiffrement des fonctions de message ont révélé que les données de
l’indicateur de vitesse viennent des messages CAN avec un ID de 0x101.
Le calculateur qui contrôle les aiguilles du tableau de bord écoute constamment le bus CAN. Chaque
fois qu'un message avec un ID de 0x101 est reçu, la position de l'aiguille affichant la vitesse est mise à jour.
Ce message a une longueur de données de 8 octets. Les octets 5 et 6 représentent en hexadécimale la
valeur de la vitesse du véhicule. La méthode de calcul est donnée par l’algorithme suivant :
Q21. Calculer la vitesse du véhicule envoyée par la trame indicateur de vitesse CAN au message suivant :
101 # AA 55 AA 55 07 80 FF 00
Le circuit de communication série asynchrone (UART) du module GPS est réalisé par un circuit logique
programmable FPGA. Le schéma structurel du circuit récepteur de l’UART est basé sur l’utilisation d’un
registre à décalage série parallèle donné à la figure suivante :
Registre à décalage
Rx StopBit
Clk ParityBit
D7 ……..… D0
Figure 8 : Bloc fonctionnel du registre à décalage
Ce registre à décalage série/parallèle permet la réception d’une donnée de 11bits (1bit de start, 8bits de données,
1bit de parité et 1bit de stop), sur l’entrée Rx.
Après 11 décalages à droite, on aura la disposition des différents bits selon le format suivant :
Q10 Q0
Rx stop parité D7 D6 D5 D4 D3 D2 D1 D0 start
Q23. Sur le document réponse DR2, Compléter le code VHDL de l’architecture de ce registre.
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
8
Filière : Systèmes Electroniques Épreuve : Electronique 28
Le bloc fonctionnel de la figure suivante représente le détecteur d’erreurs de parité (Pe) et de format (Fe)
utilisé dans le récepteur UART:
Q25. Compléter sur le document réponse DR3, le code VHDL de description de l’architecture de ce circuit.
L’ensemble du récepteur UART est réalisé par le schéma bloc structurel suivant :
La communication des données GPS avec le microcontrôleur pic16F876A (de fréquence 16MHz) est faite
par son interface UART. Une interruption est générée à chaque réception d’une donnée.
On donne BRGH = 1.
Q28. En consultant l’annexe 4 et pour un débit de 9600 Bauds, déterminer la valeur à mettre dans le registre
SPBRG.
Q29. Pour activer l’interruption de réception de données de l’interface USART, on procède comme suit :
Activer l’interruption générale ;
Activer l’interruption périphérique ;
Activer l’interruption en prévenance de l’UART.
Donner les valeurs à mettre dans les registres INTCON et PIE1. (Document réponse DR4)
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
9
Filière : Systèmes Electroniques Épreuve : Electronique 28
Q30. La routine d’interruption de réception des données est décrite comme suit :
Tester si le flag RCIF est à « 1 » ;
Stocker 20 données dans une variable DATA de type chaine de caractères
Mettre le flag RCIF à « 0 »
V- Communication I2C
Les informations relatives à la date et l’heure sont communiquées au microcontrôleur par le circuit DS1307
via une liaison série I2C.
Le document constructeur du DS1307 est donné en annexe 5.
Q31. Quelle est la fréquence de l’horloge (SCL) supportée par ce circuit ?
Q32. La date stockée dans la mémoire du circuit DS1307 en codage BCD, correspond au Mercredi 02 Mai
2018. Sur le document réponse DR4, donner les contenus des registres relatifs à cette date.
Q33. L’heure stockée dans la mémoire du circuit DS1307 en codage BCD, correspond à 14 heures, 45 minutes
et 30 secondes. Sur le document réponse DR4, donner les contenus des registres relatifs à l’heure.
Q34. Sur le document réponse DR4, donner la trame I²C qui correspond à l’écriture du mois 5 dans la mémoire
du circuit DS1307.
Q35. Sur le document réponse DR4, donner la trame I²C pour la lecture de l’heure (14h45mn) stockée dans la
mémoire du circuit DS1307.
Q36. La fonction I2C_Start en langage C permet l’envoi d’une condition de START sur le bus I2C selon
l’algorithme suivant :
- Positionner le bit SEN du registre SSPCON2 à « 1 » ;
- Attendre que le bit SSPIF du registre PIR1 passe à « 1 » ;
- Remettre le bit SSPIF à 0.
Compléter la fonction I2C_Start sur document réponse DR5.
Q37. La fonction I2C_Send en langage C permet l’envoi d’une donnée sur le bus I2C selon l’algorithme
suivant:
- Mettre la donnée dans le registre SSPBUF ;
- Attendre que le bit SSPIF passe à « 1 » ;
- Remettre le bit SSPIF à « 0 ».
Compléter la fonction I2C_Send sur document réponse DR5.
Q38. En se basant sur l’annexe 6, compléter la fonction I2C_Stop sur document réponse DR5.
Q39. Sur le document réponse DR5, compléter la fonction modifier_mois permettant de modifier la valeur du
mois stockée, dans la mémoire du circuit DS1307.
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
10
Filière : Systèmes Electroniques Épreuve : Electronique 28
Barème de notation
Q14.
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
12
Filière : Systèmes Electroniques Épreuve : Electronique 28
Entity register is
……………………………………………………………………………………………
……………………………………………………………………………………………
……………………………………………………………………………………………
end ……………………… ;
Q23.
architecture arch of register is
Signal Q : std_logic_vector (10 downto 0);
Begin
process (………………………………)
variable N: Integer:=0;
begin
if (…………………………………………………………………) then -- Si front montant de clk
if (Rx='0' and N=0 ) then N:=11;
end if;
if N>0 then
For I IN 0 to 9 Loop
……………………………………………; -- décalage de 1 bit à droite
End loop ;
Q(……………)<=Rx;
N:=N-1;
End if;
End if;
end process;
D<=…………………………………………;
ParityBit<=………………………;
StopBit<=Q(10);
end arch;
Q24.
library ieee;
use ieee.std_logic_1164.all;
Entity …………………………………………………… is
……………………………………………………………………………………………
……………………………………………………………………………………………
……………………………………………………………………………………………
end ……………………… ;
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
13
Filière : Systèmes Electroniques Épreuve : Electronique 28
Q26.
library ieee;
use ieee.std_logic_1164.all;
Entity …………………………………………………… is
……………………………………………………………………………………………
……………………………………………………………………………………………
……………………………………………………………………………………………
end ……………………… ;
Q27.
architecture rtl of recepteur is
component registre
……………………………………………………………………………………………
……………………………………………………………………………………………
……………………………………………………………………………………………
end component;
component Detect_erreur
……………………………………………………………………………………………
……………………………………………………………………………………………
……………………………………………………………………………………………
end component;
PIE1 REGISTER
PSPIE ADIE RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE
Q30.
void interrupt() {
static int i= 0;
if (………………………………………………) {
DATA[i] = UART1_Read();
i++;
if (i == ………………) i = ………………;
………………………………………………;
}
}
Q32.
Q33.
Q34.
Trame I²C
S
Q35.
Trame I²C
S
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
15
Filière : Systèmes Electroniques Épreuve : Electronique 28
Q39.
void modifier_mois(short mois) {
short m ,ack;
m = dec2bcd(mois) ; // convertion de mois en BCD
I2C_Start();
ack=I2C_Write(………………………);
If (ack == …………………………){
I2C_Write(………………………);
I2C_Write(………………………);
I2C_Stop();
}
}
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
16
Filière : Systèmes Electroniques Épreuve : Electronique 28
Annexe 1 :
PRINCIPE DE FONCTIONNEMENT
RT = R0 [1 + AT + BT2 + C (T – 100)T3]
RT = résistance à la température T
R0 = résistance à 0 °C (100 ohms pour la PT100)
T = Température en °C
Pour la qualité de platine couramment utilisée dans les thermomètres industriels à résistance.
Les valeurs des constantes A, B et C sont :
A = 3.9083 x 10–3 °C–1
B = – 5.775 x 10–7 °C–2
C = – 4.183 x 10–12 °C–4 pour les températures négatives et C = 0 pour les températures positives.
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
17
Filière : Systèmes Electroniques Épreuve : Electronique 28
Annexe 2 :
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
18
Filière : Systèmes Electroniques Épreuve : Electronique 28
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
19
Filière : Systèmes Electroniques Épreuve : Electronique 28
Annexe 3 :
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
20
Filière : Systèmes Electroniques Épreuve : Electronique 28
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
21
Filière : Systèmes Electroniques Épreuve : Electronique 28
Annexe 4 :
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
22
Filière : Systèmes Electroniques Épreuve : Electronique 28
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
23
Filière : Systèmes Electroniques Épreuve : Electronique 28
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
24
Filière : Systèmes Electroniques Épreuve : Electronique 28
Annexe 5 :
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
25
Filière : Systèmes Electroniques Épreuve : Electronique 28
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
26
Filière : Systèmes Electroniques Épreuve : Electronique 28
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
27
Filière : Systèmes Electroniques Épreuve : Electronique 28
Annexe 6 :
Page
Sujet de l’Examen National du Brevet de Technicien Supérieur - Session Mai 2018 -
28
Filière : Systèmes Electroniques Épreuve : Electronique 28