Tarea 1 1trabajo Individual Electrónica Digital

Descargar como docx, pdf o txt
Descargar como docx, pdf o txt
Está en la página 1de 12

Tarea 1 1Trabajo

Individual

Nombre estudiante:

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA -


UNAD
Escuela de Ciencias Básicas, Tecnología e Ingeniería
Electrónica
Septiembre 3 del 2024
Introducción
En la presente entrega, se exploran conceptos fundamentales de la electrónica digital y se
documenta el proceso de diseño y simulación de circuitos lógicos. Este informe tiene como
objetivo principal proporcionar una visión clara de las diferencias entre las cantidades
analógicas y digitales, así como ofrecer una comprensión detallada de las tecnologías y
herramientas utilizadas en el diseño de circuitos digitales. Se explora cómo estas
tecnologías, como CMOS, TTL, y FPGA, impactan el diseño y la funcionalidad de los
circuitos.

Para la elaboración de este informe, se emplearon diversas estrategias, incluyendo la


investigación de tecnologías de circuitos digitales, la simulación de compuertas lógicas
usando EdaPlayGround y otros softwares especializados, y la descripción minuciosa de
cada componente y proceso. Este enfoque no solo permite una comprensión teórica, sino
también una aplicación práctica de los conocimientos adquiridos.

El informe se puede utilizar para varios propósitos, tales como preparar a los estudiantes
para trabajos prácticos en electrónica digital, facilitar la comprensión de conceptos clave y
proporcionar una base sólida para la creación y simulación de circuitos lógicos. La
documentación también es útil para evaluar la habilidad en la implementación y análisis de
circuitos digitales utilizando herramientas modernas.

Objetivos
La finalidad de este informe es proporcionar una comprensión clara y detallada de los
conceptos básicos de la electrónica digital, incluyendo la diferencia entre cantidades
analógicas y digitales, los diferentes tipos de tecnologías en circuitos digitales, el
funcionamiento y diseño de compuertas lógicas, y el uso de herramientas de simulación
como EdaPlayGround. Se busca desarrollar habilidades prácticas y teóricas para diseñar,
simular y analizar circuitos digitales utilizando diversas tecnologías y lenguajes de
descripción de hardware.

Presaberes 1.

a. Describa en forma concisa la principal diferencia entre las cantidades analógicas y


digitales.
Cantidad analógica: Puede tomar un rango continuo de valores, representando
datos en un formato continuo y a menudo variable. Ejemplo: la temperatura, que
puede tener infinitos valores entre, por ejemplo, 20°C y 21°C.

Cantidad digital: Se representa mediante valores discretos o finitos, usualmente en


forma binaria (0 y 1). Ejemplo: un interruptor con dos posiciones (encendido y
apagado) o un número entero.

b. Clasificación de las cantidades:

1. Un interruptor de diez posiciones: Digital (aunque puede parecer


analógico en el sentido de que tiene más de dos estados, sigue siendo un
sistema discreto).
2. La corriente que fluye a través de un contacto eléctrico: Analógica (la
corriente puede variar en un rango continuo).
3. La temperatura de una habitación: Analógica (la temperatura se puede
medir en un rango continuo).
4. El medidor de combustible de un automóvil: Analógico (aunque muchos
medidores modernos utilizan técnicas digitales, la lectura continua del nivel
de combustible es fundamentalmente analógica).

 Tecnologías utilizadas en la construcción de circuitos digitales


• CMOS (Complementary Metal-Oxide-Semiconductor): Tecnología que
utiliza pares de transistores MOSFET complementarios (N y P) para realizar
operaciones lógicas con bajo consumo de energía y alta densidad de
integración.
• TTL (Transistor-Transistor Logic): Tecnología basada en transistores
bipolares para implementar compuertas lógicas. Es conocida por su
velocidad y su robustez.
• ECL (Emitter-Coupled Logic): Tecnología de lógica digital que utiliza
transistores en configuración de acoplador de emisor para obtener alta
velocidad a expensas de un mayor consumo de energía.
• BiCMOS (Bipolar Complementary Metal-Oxide-Semiconductor):
Combina las ventajas de CMOS y TTL. Utiliza transistores bipolares para
velocidad y transistores CMOS para bajo consumo de energía
• GaAs (Gallium Arsenide): Material semiconductor utilizado para fabricar
circuitos de alta velocidad y frecuencia, ideal para aplicaciones de
microondas y alta frecuencia.
• Memoria Flash: Tipo de memoria no volátil que retiene datos sin necesidad
de energía, utilizada en almacenamiento portátil y dispositivos electrónicos.
• FPGAs (Field-Programmable Gate Arrays): Dispositivos
semiconductores que pueden ser programados después de su fabricación
para realizar diversas funciones lógicas y de procesamiento.

Descripción de una compuerta lógica

 Una compuerta lógica es un componente fundamental en la electrónica digital que


realiza una operación lógica básica sobre uno o más valores de entrada y produce un
resultado de salida. Las operaciones lógicas básicas incluyen AND, OR, NOT,
NAND, NOR, XOR y XNOR. Estas compuertas se utilizan para construir circuitos
digitales que procesan información binaria.

Descripción de hardware HDL

 La descripción de hardware HDL (Hardware Description Language) es un lenguaje


utilizado para modelar, diseñar y simular circuitos electrónicos y sistemas digitales.
Los lenguajes HDL permiten describir el comportamiento y la estructura del
hardware en un formato textual. Los lenguajes HDL más comunes son VHDL
(VHSIC Hardware Description Language) y Verilog.

 identificar las diferentes compuertas lógicas y sus respectivas tablas de verdad.


  Compuerta OR

Entrada A Entrada B Salida C Operación Lógica


0 0 0 C=A+B
Entrada A Entrada B Salida C Operación Lógica
0 1 1
1 0 1
1 1 1

  Compuerta NOT

Entrada A Salida C Operación Lógica


0 1 C= A
1 0

  Compuerta NAND

Entrada A Entrada B Salida C Operación Lógica


0 0 1 C = ( A ∙ B)
0 1 1
1 0 1
1 1 0

  Compuerta NOR

Entrada A Entrada B Salida C Operación Lógica


0 0 1 C = ( A+ B)
0 1 0
1 0 0
1 1 0

  Compuerta XOR

Entrada A Entrada B Salida C Operación Lógica


0 0 0 C = A∗B + A∗B
0 1 1
1 0 1
1 1 0

  Compuerta XNOR

Entrada A Entrada B Salida C Operación Lógica


0 0 1 C = A∗B+ B∗A
0 1 0
1 0 0
1 1 1
c. . Registro y prueba del software EdaPlayGround: a) Realizar el registro en el
software online EdaPlayGround. Revisar el siguiente video con las orientaciones
para el registro en el software.

Software EdaPlayGround
testbench.vhd

Componente Descripción
Indica que se está utilizando la biblioteca IEEE, la
library IEEE; cual contiene definiciones estándar para el diseño
de circuitos digitales.
Importa todas las declaraciones y definiciones de
use tipos y funciones contenidas en la librería
IEEE.STD_LOGIC_1164.ALL; STD_LOGIC_1164 de la biblioteca IEEE, que se usa
para el modelado de lógica digital.
Define la entidad Simulacion, que representa el
entity Simulacion is ... end nombre del diseño. Aquí no se definen entradas
Simulacion; ni salidas, ya que este es un banco de pruebas
(testbench).
architecture Behavioral of Describe la arquitectura Behavioral asociada a la
Simulacion is ... end entidad Simulacion. Define el comportamiento y la
Behavioral; estructura interna del testbench.

Declara un componente llamado compuerta1, que


component compuerta1 ... tiene entradas A, B y una salida G, todas de tipo
end component; STD_LOGIC. No se define el comportamiento del
componente, solo su interfaz.
Define una señal A_S de tipo STD_LOGIC, que se
signal A_S: STD_LOGIC: =
inicializa con el valor '0'. Esta señal actúa como
'0';
una entrada para el componente compuerta1.
Define una señal B_S de tipo STD_LOGIC,
signal B_S: STD_LOGIC:=
inicializada con '0'. Actúa como otra entrada para
'0';
el componente compuerta1.
Define una señal G_S de tipo STD_LOGIC que
signal G_S: STD_LOGIC; servirá como salida para el componente
compuerta1.
UO: compuerta1 Port map Instancia el componente compuerta1 y mapea las
(A => A_S, B => B_S, G => señales A_S, B_S y G_S a los puertos A, B y G del
G_S); componente, respectivamente.
Un bloque process que se utiliza para generar
estímulos de prueba para el componente
process ... end process; compuerta1. Este proceso no tiene sensibilidad a
señales, y ejecuta una secuencia de eventos con
tiempos de espera (wait).
Pausa la ejecución del proceso por 100
nanosegundos. Se utiliza para simular el paso del
wait for 100 ns;
tiempo entre diferentes combinaciones de
entradas.
Asigna los valores '0' y '0' a las señales A_S y B_S,
A_S <= '0'; B_S <= '0'; respectivamente, para probar el comportamiento
del componente compuerta1.

design.vhd

Componente Descripción
Comentario que incluye el nombre del autor. Los
-- Nombre: Cesar Augusto
comentarios en VHDL comienzan con -- y son ignorados
Ortiz
por el compilador.
-- Documento: 5874606 Comentario que indica el número de documento del autor.
Comentario que indica la fecha en la que se escribió el
-- Fecha: 02 09 2024
código.
Instrucción que incluye la biblioteca IEEE, que contiene
library IEEE; estándares y definiciones utilizados comúnmente en
diseños de circuitos digitales.
Importa todas las definiciones del paquete std_logic_1164,
use IEEE.std_logic_1164.all; que proporciona tipos de datos y operaciones para la
lógica digital.
Importa todas las definiciones del paquete numeric_std, que
use IEEE.numeric_std.all; define tipos y operaciones aritméticas estándar, como
SIGNED y UNSIGNED, para números en VHDL.
Importa todas las definiciones del paquete
use
std_logic_unsigned, que permite tratar señales de tipo
IEEE.std_logic_unsigned.all;
STD_LOGIC como si fueran números sin signo.
Define la entidad compuerta1, que describe el nombre del
entity compuerta1 is ... end
componente y sus puertos. En este caso, tiene dos
compuerta1;
entradas (A y B) y una salida (G), todas de tipo STD_LOGIC.
Especifica los puertos de la entidad compuerta1. A y B son
Port (A: in STD_LOGIC; B: in
señales de entrada (in), y G es una señal de salida (out).
STD_LOGIC; G: out
STD_LOGIC); Todas son de tipo STD_LOGIC, que representa un bit en
lógica digital.
architecture Behavioral of Define la arquitectura Behavioral para la entidad
compuerta1 is ... end compuerta1. Especifica el comportamiento del componente
Behavioral; a nivel de descripción de comportamiento.
Describe la lógica del componente, en la cual la salida G se
G <= A NAND B; establece como el resultado de la operación NAND entre
las entradas A y B.
Conclusión

En conclusión, la actividad independiente propuesta es fundamental para que


nosotros los estudiantes reforcemos y evaluamos la comprensión de los conceptos
básicos en circuitos analógicos y digitales, así como en el uso de herramientas
computacionales aplicadas al diseño de circuitos electrónicos. A través de la
revisión y análisis de estos conceptos, los estudiantes podrán consolidar sus
conocimientos previos y contextualizar mejor el curso de Electrónica Digital.
Además, el uso de simulaciones prácticas mediante software especializado permite
una comprensión más profunda de las compuertas lógicas y su funcionamiento en
un entorno digital real, preparando a los estudiantes para el desarrollo de
habilidades necesarias en el campo de la electrónica.
Bibliografía

SIMULADOR de Circuitos Electrónicos Online - Gratis. (2021, mayo 25).


MasterPLC. https://masterplc.com/simulador/

EDA playground. (s/f). Edaplayground.com. Recuperado el 3 de septiembre de


2024, de https://www.edaplayground.com/

Garcia, S. [@chana22enator]. (s/f). Una Visión Global del Diseño Digital. Youtube.
Recuperado el 3 de septiembre de 2024, de https://www.youtube.com/watch?
v=fVa9J9p6lu4&list=UUwM51xVkAa6NmzjUXg1jEgQ

También podría gustarte