Examen Parcial 2017-1 - DISEÑO DIGITAL - FIEE - UNMSM
Examen Parcial 2017-1 - DISEÑO DIGITAL - FIEE - UNMSM
Examen Parcial 2017-1 - DISEÑO DIGITAL - FIEE - UNMSM
CURSO: ________DISEÑO
DIGITAL__________________________________
COD. CURSO:
◦ La señal MIN sirve para establecer el valor inicial (si no se activa por defecto es 0).
◦ La señal MAX sirve para establecer el valor final (si no se activa por defecto es F).
◦ La entrada A (4 bits) determina el valor inicial sólo cuando se activa MIN.
◦ La entrada B (4 bits) determina el valor final sólo cuando se activa MAX.
Cuando no se presiona ningún botón (las entradas por defecto son 0s) deben estar apagados los 4
display. Cuando se presiona HALT se muestra en los display (mientras se mantenga pulsado). Lo mismo
pasa con las otras entradas. La entrada con mayor prioridad es HALT y la de menor es FULL.
EL PROFESOR
Solucion No1:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity pregunta1 is
port(clk : in std_logic;
z: out std_logic);
end pregunta1;
Solucion No2:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity pregunta2 is
port(clk,min,max: in std_logic;
A,B: in std_logic_vector(3 downto 0);
Q: out std_logic_vector(3 downto 0));
end pregunta2;
Solucion No3: